diff options
author | Robin Haberkorn <robin.haberkorn@googlemail.com> | 2015-03-24 02:40:41 +0100 |
---|---|---|
committer | Robin Haberkorn <robin.haberkorn@googlemail.com> | 2015-03-24 02:48:01 +0100 |
commit | 83f18d2578288fe71fca409e4f03434346b0d5b7 (patch) | |
tree | 4d32a1e4dbb3f113ac08fc7ef664636d909df004 /lib | |
parent | fec93b9b0cc83dc1102c78c8bf1eacaf8763d9b8 (diff) | |
download | sciteco-83f18d2578288fe71fca409e4f03434346b0d5b7.tar.gz |
added new lexer configs auto-generated by scite2co.lua
* these are still not all languages supported by Scintilla.
scite2co.lua does not do a good job of generating styles when
SciTE's property files use hardcoded colors/fonts.
This commit only includes reasonably good conversion results.
The remaining languages need some additional manual labor.
* Even these lexers are not perfect and should be revised by comparing
them with SciTE's properties.
* So many lexers make the "lexer.auto" macro too slow.
We need some optimization. E.g. the search-command optimization
described in TODO, or an extended EN command for globbing manually
specified file names.
Diffstat (limited to 'lib')
63 files changed, 3190 insertions, 5 deletions
diff --git a/lib/Makefile.am b/lib/Makefile.am index 027a2f7..f5102f4 100644 --- a/lib/Makefile.am +++ b/lib/Makefile.am @@ -13,10 +13,72 @@ dist_colorscheme_DATA = colors/terminal.tes # This list must be extended when adding # a new lexer configuration: lexerdir = $(scitecolibdir)/lexers -dist_lexer_DATA = lexers/diff.tes \ - lexers/bash.tes \ - lexers/cpp.tes \ +dist_lexer_DATA = lexers/verilog.tes \ + lexers/php.tes \ + lexers/pascal.tes \ + lexers/rebol.tes \ + lexers/flagship.tes \ + lexers/vhdl.tes \ + lexers/ave.tes \ + lexers/go.tes \ + lexers/f95.tes \ + lexers/lisp.tes \ + lexers/ada.tes \ + lexers/d.tes \ + lexers/octave.tes \ + lexers/mako.tes \ + lexers/lout.tes \ + lexers/lua.tes \ + lexers/tal.tes \ + lexers/systemverilog.tes \ + lexers/matlab.tes \ + lexers/flash.tes \ + lexers/make.tes \ + lexers/eiffel.tes \ + lexers/swift.tes \ + lexers/r.tes \ + lexers/vala.tes \ + lexers/purebasic.tes \ + lexers/scheme.tes \ + lexers/docbook.tes \ + lexers/cobol.tes \ + lexers/powerpro.tes \ + lexers/tcl.tes \ lexers/cmake.tes \ - lexers/batch.tes \ + lexers/freebasic.tes \ lexers/xml.tes \ - lexers/make.tes + lexers/asl.tes \ + lexers/cpp.tes \ + lexers/test.tes \ + lexers/kix.tes \ + lexers/baan.tes \ + lexers/js.tes \ + lexers/spice.tes \ + lexers/idl.tes \ + lexers/ch.tes \ + lexers/vb.tes \ + lexers/awk.tes \ + lexers/diff.tes \ + lexers/gap.tes \ + lexers/mmixal.tes \ + lexers/pike.tes \ + lexers/asm.tes \ + lexers/vxml.tes \ + lexers/cs.tes \ + lexers/abaqus.tes \ + lexers/java.tes \ + lexers/avs.tes \ + lexers/f77.tes \ + lexers/batch.tes \ + lexers/specman.tes \ + lexers/rc.tes \ + lexers/tacl.tes \ + lexers/bash.tes \ + lexers/oscript.tes \ + lexers/html.tes \ + lexers/perl.tes \ + lexers/inno.tes \ + lexers/pov.tes \ + lexers/rust.tes \ + lexers/blitzbasic.tes \ + lexers/caml.tes diff --git a/lib/lexers/abaqus.tes b/lib/lexers/abaqus.tes new file mode 100644 index 0000000..a85a4fb --- /dev/null +++ b/lib/lexers/abaqus.tes @@ -0,0 +1,28 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.abaqus]{ [_ + _.[inp,dat,msg]M[lexer.checkname] +]_ } + +@[lexer.set.abaqus]{ + ESSETLEXER,SCLEX_ABAQUS + 1ESSETKEYWORDS + *amplitude *assembly *beam *boundary *buckle *bulk *cload *conditions + *conductivity *contact *damping *density *dload *dsflux *dsload *dynamic *el *elastic + *element *element output *elgen *elset *encastre *end step *expansion *explicit + *equation *embedded element *field *freq *frequency *friction *generate *heading *heat + transfer *history *imperfectio *import *include *initial *initial conditions + *instance *interactio *internal *interval *marks *material *monitor *mpc *ncopy *nfill + *ngen *nlgeom *node *node output *node print *nset *number *output *pair + *parameter *part *physical constants *plastic *print *preprint *radiate *restart *shell + *shell section *solid section *specific heat *sradiate *static *step *surface + *temperature *time *type *variable *viscosity + 4ESSETKEYWORDS + elset engineering inc input line material name nset pin tie type write + generate field variable history stefan boltzmann absolute zero zero frequency steady + state new old set change number shift model position newset oldset host + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],3M[color.set] + :M[color.string],4M[color.set] +} diff --git a/lib/lexers/ada.tes b/lib/lexers/ada.tes new file mode 100644 index 0000000..2bdea8b --- /dev/null +++ b/lib/lexers/ada.tes @@ -0,0 +1,22 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.ada]{ [_ + _.[ads,adb]M[lexer.checkname] +]_ } + +@[lexer.set.ada]{ + ESSETLEXER,SCLEX_ADA + 0ESSETKEYWORDS + abort abstract accept access aliased all array at begin body case constant + declare delay delta digits do else elsif end entry exception exit for function + generic goto if in is limited loop new null of others out package pragma private + procedure protected raise range record renames requeue return reverse select separate + subtype tagged task terminate then type until use when while with abs and mod not or + rem xor + :M[color.keyword],1M[color.set] + :M[color.number],3M[color.set] + :M[color.operator],4M[color.set] + :M[color.string2],5M[color.set] + :M[color.string],7M[color.set] + :M[color.comment],10M[color.set] +} diff --git a/lib/lexers/asl.tes b/lib/lexers/asl.tes new file mode 100644 index 0000000..96ccc23 --- /dev/null +++ b/lib/lexers/asl.tes @@ -0,0 +1,64 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.asl]{ [_ + _.[asl,dsl]M[lexer.checkname] +]_ } + +@[lexer.set.asl]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + AccessAs Acquire Add Alias And Arg0 Arg1 Arg2 Arg3 Arg4 Arg5 Arg6 BankField + Break BreakPoint Buffer Case Concatenate ConcatenateResTemplate CondRefOf + Connection Continue CopyObject CreateBitField CreateByteField CreateDWordField + CreateField CreateQWordField CreateWordField DataTableRegion Debug Decrement Default + DefinitionBlock DerefOf Device Device Divide DMA DWordIO DWordMemory DWordSpace EisaId + ElseIf Else EndDependentFn Event ExtendedIO ExtendedMemory ExtendedMemory + ExtendedSpace External Fatal Field FindSetLeftBit FindSetRightBit FixedDMA FixedIO FromBCD + Function GpioInt GpioIo I2CSerialBus If Include Increment Index IndexField Interrupt + IO IRQNoFlags IRQ LAnd LEqual LGreater LGreaterEqual LLess LLessEqual LNotEqual + LNot Load LoadTable Local0 Local1 Local2 Local3 Local4 Local5 Local6 Local7 LOr + Match Memory24 Memory32 Memory32Fixed Method Mid Mod Multiply Mutex Name NAnd NoOp + NOr Not Notify Offset ObjectType Ones One OperationRegion Or Package + PowerResource Processor QWordIO QWordMemory QWordSpace RawDataBuffer RefOf Register + Release Reset ResourceTemplate Return Revision Scope ShiftLeft ShiftRight Signal + SizeOf Sleep SPISerialBus Stall StartDependentFn StartDependentFnNoPri Store + Subtract Switch ThermalZone Timer ToBCD ToBuffer ToDecimalString ToHexString + ToInteger ToString ToUUID UARTSerialBus Unicode Unload VendorLong VendorShort Wait + While WordBusNumber WordIO WordSpace XOr Zero + 1ESSETKEYWORDS + AttribQuick AttribSendReceive AttribByte AttribBytes AttribRawBytes + AttribRawProcessBytes AttribWord AttribBlock AttribProcessCall AttribBlockProcessCall AnyAcc + ByteAcc WordAcc DWordAcc QWordAcc BufferAcc AddressRangeMemory AddressRangeReserved + AddressRangeNVS AddressRangeACPI RegionSpaceKeyword FFixedHW PCC AddressingMode7Bit + AddressingMode10Bit DataBitsFive DataBitsSix DataBitsSeven DataBitsEight DataBitsNine BusMaster + NotBusMaster ClockPhaseFirst ClockPhaseSecond ClockPolarityLow ClockPolarityHigh + SubDecode PosDecode BigEndianing LittleEndian AttribBytes AttribRawBytes + AttribRawProcessBytes FlowControlNone FlowControlXon FlowControlHardware Edge Level ActiveHigh + ActiveLow ActiveHigh ActiveLow ActiveBoth Decode16 Decode10 IoRestrictionNone + IoRestrictionInputOnly IoRestrictionOutputOnly IoRestrictionNoneAndPreserve Lock NoLock MTR MEQ MLE + MLT MGE MGT MaxFixed MaxNotFixed Cacheable WriteCombining Prefetchable + NonCacheable MinFixed MinNotFixed UnknownObj IntObj StrObj BuffObj PkgObj FieldUnitObj + DeviceObj EventObj MethodObj MutexObj OpRegionObj PowerResObj ProcessorObj + ThermalZoneObj BuffFieldObj DDBHandleObj ParityTypeNone ParityTypeSpace ParityTypeMark + ParityTypeOdd ParityTypeEven PullDefault PullUp PullDown PullNone PolarityHigh PolarityLow + ISAOnlyRanges NonISAOnlyRanges EntireRange ReadWrite ReadOnly UserDefRegionSpace SystemIO + SystemMemory PCI_Config EmbeddedControl SMBus SystemCMOS PciBarTarget IPMI + GeneralPurposeIO GenericSerialBus ResourceConsumer ResourceProducer Serialized NotSerialized + Shared Exclusive SharedAndWake ExclusiveAndWake ControllerInitiated DeviceInitiated + StopBitsZero StopBitsOne StopBitsOnePlusHalf StopBitsTwo Width8Bit Width16Bit Width32Bit + Width64Bit Width128Bit Width256Bit SparseTranslation DenseTranslation TypeTranslation + TypeStatic Preserve WriteAsOnes WriteAsZeros Transfer8 Transfer16 Transfer8_16 + ThreeWireMode FourWireMode + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/asm.tes b/lib/lexers/asm.tes new file mode 100644 index 0000000..e63f773 --- /dev/null +++ b/lib/lexers/asm.tes @@ -0,0 +1,179 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.asm]{ [_ + _.asmM[lexer.checkname] +]_ } + +@[lexer.set.asm]{ + ESSETLEXER,SCLEX_ASM + 0ESSETKEYWORDS + aaa aad aam aas daa das ja jae jb jbe jc jcxz je jg jge jl jle jmp jna jnae + jnb jnbe jnc jne jng jnge jnl jnle jno jnp jns jnz jo jp jpe jpo js jz jcxz + jecxz jrcxz loop loope loopne loopz loopnz call ret add sub adc sbb neg cmp inc + dec and or xor not test shl shr sal sar shld shrd rol ror rcl rcr cbw cwd cwde + cdq cdqe cqo bsf bsr bt btc btr bts idiv imul div mul bswap nop lea mov movsx + movsxd movzx xlatb bound xchg xadd cmpxchg cmpxchg8b cmpxchg16b push pop pushad + popad pushf popf pushfd popfd pushfq popfq seta setae setb setbe setc sete setg + setge setl setle setna setnae setnb setnbe setnc setne setng setnge setnl setnle + setno setnp setns setnz seto setp setpe setpo sets setz salc clc cld stc std cmc + lahf sahf cmovo cmovno cmovb cmovc cmovnae cmovae cmovnb cmovnc cmove cmovz + cmovne cmovnz cmovbe cmovna cmova cmovnbe cmovs cmovns cmovp cmovpe cmovnp cmovpo + cmovl cmovnge cmovge cmovnl cmovle cmovng cmovg cmovnle lock rep repe repz repne + repnz cmpsb cmpsw cmpsq movsb movsw movsq scasb scasw scasd scasq stosb stosw + stosd stosq cpuid rdtsc rdtscp rdpmc xgetbv llwpcb slwpcb lwpval lwpins crc32 + popcnt lzcnt tzcnt movbe pclmulqdq rdrand andn bextr blsi blsmk blsr bzhi mulx pdep + pext rorx sarx shlx shrx + 1ESSETKEYWORDS + f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcom fcomp fcompp fdecstp fdisi + fdiv fdivp fdivr fdivrp feni ffree fiadd ficom ficomp fidiv fidivr fild fimul + fincstp finit fist fistp fisub fisubr fld fld1 fldcw fldenv fldenvw fldl2e fldl2t + fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnsavew + fnstcw fnstenv fnstenvw fnstsw fpatan fprem fptan frndint frstor frstorw fsave + fsavew fscale fsqrt fst fstcw fstenv fstenvw fstp fstsw fsub fsubp fsubr fsubrp + ftst fwait fxam fxch fxtract fyl2x fyl2xp1 fsetpm fcos fldenvd fnsaved fnstenvd + fprem1 frstord fsaved fsin fsincos fstenvd fucom fucomp fucompp fcomi fcomip fucomi + fucomip ffreep fcmovb fcmove fcmovbe fcmovu fcmovnb fcmovne fcmovnbe fcmovnu + 2ESSETKEYWORDS + al ah bl bh cl ch dl dh ax bx cx dx si di bp eax ebx ecx edx esi edi ebx esp + st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 + xmm3 xmm4 xmm5 xmm6 xmm7 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 fs sil dil bpl + r8b r9b r10b r11b r12b r13b r14b r15b r8w r9w r10w r11w r12w r13w r14w r15w rax + rcx rdx rbx rsp rbp rsi rdi r8 r9 r10 r11 r12 r13 r14 r15 xmm8 xmm9 xmm10 xmm11 + xmm12 xmm13 xmm14 xmm15 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 gs + 3ESSETKEYWORDS + db dw dd dq dt do dy resb resw resd resq rest reso resy incbin equ times + safeseh __utf16__ __utf32__ %+ default cpu float start imagebase osabi ..start + ..imagebase ..gotpc ..gotoff ..gottpoff ..got ..plt ..sym ..tlsie section segment + __sect__ group absolute .bss .comment .data .lbss .ldata .lrodata .rdata .rodata + .tbss .tdata .text alloc bss code exec data noalloc nobits noexec nowrite progbits + rdata tls write private public common stack overlay class extern global common + import export %define %idefine %xdefine %ixdefine %assign %undef %? %?? %defstr + %idefstr %deftok %ideftok %strcat %strlen %substr %macro %imacro %rmacro %exitmacro + %endmacro %unmacro %if %ifn %elif %elifn %else %endif %ifdef %ifndef %elifdef + %elifndef %ifmacro %ifnmacro %elifmacro %elifnmacro %ifctx %ifnctx %elifctx %elifnctx + %ifidn %ifnidn %elifidn %elifnidn %ifidni %ifnidni %elifidni %elifnidni %ifid + %ifnid %elifid %elifnid %ifnum %ifnnum %elifnum %elifnnum %ifstr %ifnstr %elifstr + %elifnstr %iftoken %ifntoken %eliftoken %elifntoken %ifempty %elifempty %ifnempty + %elifnempty %ifenv %ifnenv %elifenv %elifnenv %rep %exitrep %endrep %while %exitwhile + %endwhile %include %pathsearch %depend %use %push %pop %repl %arg %local %stacksize + flat flat64 large small %error %warning %fatal %00 .nolist %rotate %line %! + %final %clear struc endstruc istruc at iend align alignb sectalign bits use16 use32 + use64 __nasm_major__ __nasm_minor__ __nasm_subminor__ ___nasm_patchlevel__ + __nasm_version_id__ __nasm_ver__ __file__ __line__ __pass__ __bits__ __output_format__ __date__ + __time__ __date_num__ __time_num__ __posix_time__ __utc_date__ __utc_time__ + __utc_date_num__ __utc_time_num__ __float_daz__ __float_round__ __float__ __use_altreg__ + altreg __use_smartalign__ smartalign __alignmode__ __use_fp__ __infinity__ __nan__ + __qnan__ __snan__ __float8__ __float16__ __float32__ __float64__ __float80m__ + __float80e__ __float128l__ __float128h__ + 4ESSETKEYWORDS + a16 a32 a64 o16 o32 o64 strict byte word dword qword tword oword yword + nosplit %0 %1 %2 %3 %4 %5 %6 %7 %8 %9 abs rel $ $$ seg wrt + 5ESSETKEYWORDS + movd movq paddb paddw paddd paddsb paddsw paddusb paddusw psubb psubw psubd + psubsb psubsw psubusb psubusw pand pandn por pxor pcmpeqb pcmpeqd pcmpeqw pcmpgtb + pcmpgtd pcmpgtw pmaddwd pmulhw pmullw psllw pslld psllq psrlw psrld psrlq psraw + psrad packuswb packsswb packssdw punpcklbw punpcklwd punpckldq punpckhbw punpckhwd + punpckhdq emms pavgb pavgw pextrw pinsrw pmovmskb pmaxsw pmaxub pminsw pminub pmulhuw + psadbw pshufw prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq sfence + paddsiw psubsiw pmulhrw pmachriw pmulhriw pmagw pdistib paveb pmvzb pmvnzb pmvlzb + pmvgezb pfacc pfadd pfsub pfsubr pfmul pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfrcp + pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pi2fd pf2id pavgusb pmulhrw femms pfnacc pfpnacc + pi2fw pf2iw pswapd pfrsqrtv pfrcpv prefetch prefetchw addss addps subss subps + mulss mulps divss divps sqrtss sqrtps rcpss rcpps rsqrtss rsqrtps maxss maxps + minss minps cmpss comiss ucomiss cmpps cmpeqss cmpltss cmpless cmpunordss cmpneqss + cmpnltss cmpnless cmpordss cmpeqps cmpltps cmpleps cmpunordps cmpneqps cmpnltps + cmpnleps cmpordps andnps andps orps xorps cvtsi2ss cvtss2si cvttss2si cvtpi2ps + cvtps2pi cvttps2pi movss movlps movhps movlhps movhlps movaps movups movntps movmskps + shufps unpckhps unpcklps ldmxcsr stmxcsr addpd addsd subpd subsd mulsd mulpd divsd + divpd sqrtsd sqrtpd maxsd maxpd minsd minpd cmpsd comisd ucomisd cmppd cmpeqsd + cmpltsd cmplesd cmpunordsd cmpneqsd cmpnltsd cmpnlesd cmpordsd cmpeqpd cmpltpd + cmplepd cmpunordpd cmpneqpd cmpnltpd cmpnlepd cmpordpd andnpd andpd orpd xorpd + cvtsd2ss cvtpd2ps cvtss2sd cvtps2pd cvtdq2ps cvtps2dq cvttps2dq cvtdq2pd cvtpd2dq + cvttpd2dq cvtsi2sd cvtsd2si cvttsd2si cvtpi2pd cvtpd2pi cvttpd2pi movsd movlpd movhpd + movapd movupd movntpd movmskpd shufpd unpckhpd unpcklpd movnti movdqa movdqu + movntdq maskmovdqu movdq2q movq2dq paddq psubq pmuludq pslldq psrldq punpcklqdq + punpckhqdq pshufhw pshuflw pshufd lfence mfence addsubps addsubpd haddps haddpd hsubps + hsubpd movsldup movshdup movddup lddqu fisttp psignb psignw psignd pabsb pabsw + pabsd palignr pshufb pmulhrsw pmaddubsw phaddw phaddd phaddsw phsubw phsubd + phsubsw extrq insertq movntsd movntss mpsadbw phminposuw pmuldq pmulld dpps dppd + blendps blendpd blendvps blendvpd pblendvb pblendw pmaxsb pmaxuw pmaxsd pmaxud + pminsb pminuw pminsd pminud roundps roundss roundpd roundsd insertps pinsrb pinsrd + pinsrq extractps pextrb pextrd pextrq pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq + pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq ptest pcmpeqq packusdw + movntdqa pcmpgtq pcmpestri pcmpestrm pcmpistri pcmpistrm aesenc aesenclast aesdec + aesdeclast aeskeygenassist aesimc xcryptcbc xcryptcfb xcryptctr xcryptecb xcryptofb + xsha1 xsha256 montmul xstore vaddss vaddps vaddsd vaddpd vsubss vsubps vsubsd + vsubpd vaddsubps vaddsubpd vhaddps vhaddpd vhsubps vhsubpd vmulss vmulps vmulsd + vmulpd vmaxss vmaxps vmaxsd vmaxpd vminss vminps vminsd vminpd vandps vandpd + vandnps vandnpd vorps vorpd vxorps vxorpd vblendps vblendpd vblendvps vblendvpd + vcmpss vcomiss vucomiss vcmpsd vcomisd vucomisd vcmpps vcmppd vcmpeqss vcmpltss + vcmpless vcmpunordss vcmpneqss vcmpnltss vcmpnless vcmpordss vcmpeq_uqss vcmpngess + vcmpngtss vcmpfalsess vcmpneq_oqss vcmpgess vcmpgtss vcmptruess vcmpeq_osss + vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss + vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss + vcmpgt_oqss vcmptrue_usss vcmpeqps vcmpltps vcmpleps vcmpunordps vcmpneqps vcmpnltps + vcmpnleps vcmpordps vcmpeq_uqps vcmpngeps vcmpngtps vcmpfalseps vcmpneq_oqps vcmpgeps + vcmpgtps vcmptrueps vcmpeq_osps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps + vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps + vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpeqsd vcmpltsd + vcmplesd vcmpunordsd vcmpneqsd vcmpnltsd vcmpnlesd vcmpordsd vcmpeq_uqsd vcmpngesd + vcmpngtsd vcmpfalsesd vcmpneq_oqsd vcmpgesd vcmpgtsd vcmptruesd vcmpeq_ossd + vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd + vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd + vcmpgt_oqsd vcmptrue_ussd vcmpeqpd vcmpltpd vcmplepd vcmpunordpd vcmpneqpd vcmpnltpd + vcmpnlepd vcmpordpd vcmpeq_uqpd vcmpngepd vcmpngtpd vcmpfalsepd vcmpneq_oqpd vcmpgepd + vcmpgtpd vcmptruepd vcmpeq_ospd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd + vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd + vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcvtsd2ss vcvtpd2ps + vcvtss2sd vcvtps2pd vcvtsi2ss vcvtss2si vcvttss2si vcvtpi2ps vcvtps2pi vcvttps2pi + vcvtdq2ps vcvtps2dq vcvttps2dq vcvtdq2pd vcvtpd2dq vcvttpd2dq vcvtsi2sd vcvtsd2si + vcvttsd2si vcvtpi2pd vcvtpd2pi vcvttpd2pi vdivss vdivps vdivsd vdivpd vsqrtss vsqrtps + vsqrtsd vsqrtpd vdpps vdppd vmaskmovps vmaskmovpd vmovss vmovsd vmovaps vmovapd + vmovups vmovupd vmovntps vmovntpd vmovhlps vmovlhps vmovlps vmovlpd vmovhps vmovhpd + vmovsldup vmovshdup vmovddup vmovmskps vmovmskpd vroundss vroundps vroundsd vroundpd + vrcpss vrcpps vrsqrtss vrsqrtps vunpcklps vunpckhps vunpcklpd vunpckhpd + vbroadcastss vbroadcastsd vbroadcastf128 vextractps vinsertps vextractf128 vinsertf128 + vshufps vshufpd vpermilps vpermilpd vperm2f128 vtestps vtestpd vpaddb vpaddusb + vpaddsb vpaddw vpaddusw vpaddsw vpaddd vpaddq vpsubb vpsubusb vpsubsb vpsubw + vpsubusw vpsubsw vpsubd vpsubq vphaddw vphaddsw vphaddd vphsubw vphsubsw vphsubd + vpsllw vpslld vpsllq vpsrlw vpsrld vpsrlq vpsraw vpsrad vpand vpandn vpor vpxor + vpblendwb vpblendw vpsignb vpsignw vpsignd vpavgb vpavgw vpabsb vpabsw vpabsd vmovd + vmovq vmovdqa vmovdqu vlddqu vmovntdq vmovntdqa vmaskmovdqu vpmovsxbw vpmovsxbd + vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd + vpmovzxwq vpmovzxdq vpackuswb vpacksswb vpackusdw vpackssdw vpcmpeqb vpcmpeqw vpcmpeqd + vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpmaddubsw vpmaddwd vpmullw vpmulhuw + vpmulhw vpmulhrsw vpmulld vpmuludq vpmuldq vpmaxub vpmaxsb vpmaxuw vpmaxsw vpmaxud + vpmaxsd vpminub vpminsb vpminuw vpminsw vpminud vpminsd vpmovmskb vptest vpunpcklbw + vpunpcklwd vpunpckldq vpunpcklqdq vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpslldq + vpsrldq vpalignr vpshufb vpshuflw vpshufhw vpshufd vpextrb vpextrw vpextrd vpextrq + vpinsrb vpinsrw vpinsrd vpinsrq vpsadbw vmpsadbw vphminposuw vpcmpestri vpcmpestrm + vpcmpistri vpcmpistrm vpclmulqdq vaesenc vaesenclast vaesdec vaesdeclast + vaeskeygenassist vaesimc vldmxcsr vstmxcsr vzeroall vzeroupper vbroadcasti128 vpbroadcastb + vpbroadcastw vpbroadcastd vpbroadcastq vpblendd vpermd vpermq vperm2i128 vextracti128 + vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrldq vpgatherdd + vpgatherqd vgatherdq vgatherqq vpermps vpermpd vgatherdpd vgatherqpd vgatherdps + vgatherqps vfrczss vfrczps vfrczsd vfrczpd vpermil2ps vperlil2pd vtestps vtestpd + vpcomub vpcomb vpcomuw vpcomw vpcomud vpcomd vpcomuq vpcomq vphaddubw vphaddbw + vphaddubd vphaddbd vphaddubq vphaddbq vphadduwd vphaddwd vphadduwq vphaddwq vphaddudq + vphadddq vphsubbw vphsubwd vphsubdq vpmacsdd vpmacssdd vpmacsdql vpmacssdql vpmacsdqh + vpmacssdqh vpmacsww vpmacssww vpmacswd vpmacsswd vpmadcswd vpmadcsswd vpcmov vpperm + vprotb vprotw vprotd vprotq vpshab vpshaw vpshad vpshaq vpshlb vpshlw vpshld vpshlq + vcvtph2ps vcvtps2ph vfmaddss vfmaddps vfmaddsd vfmaddpd vfmsubss vfmsubps vfmsubsd + vfmsubpd vnfmaddss vnfmaddps vnfmaddsd vnfmaddpd vnfmsubss vnfmsubps vnfmsubsd + vnfmsubpd vfmaddsubps vfmaddsubpd vfmsubaddps vfmsubaddpd vfmadd132ss vfmadd213ss + vfmadd231ss vfmadd132ps vfmadd213ps vfmadd231ps vfmadd132sd vfmadd213sd vfmadd231sd + vfmadd132pd vfmadd213pd vfmadd231pd vfmaddsub132ps vfmaddsub213ps vfmaddsub231ps + vfmaddsub132pd vfmaddsub213pd vfmaddsub231pd vfmsubadd132ps vfmsubadd213ps vfmsubadd231ps + vfmsubadd132pd vfmsubadd213pd vfmsubadd231pd vfmsub132ss vfmsub213ss vfmsub231ss + vfmsub132ps vfmsub213ps vfmsub231ps vfmsub132sd vfmsub213sd vfmsub231sd vfmsub132pd + vfmsub213pd vfmsub231pd vfnmadd132ss vfnmadd213ss vfnmadd231ss vfnmadd132ps vfnmadd213ps + vfnmadd231ps vfnmadd132sd vfnmadd213sd vfnmadd231sd vfnmadd132pd vfnmadd213pd + vfnmadd231pd vfnmsub132ss vfnmsub213ss vfnmsub231ss vfnmsub132ps vfnmsub213ps + vfnmsub231ps vfnmsub132sd vfnmsub213sd vfnmsub231sd vfnmsub132pd vfnmsub213pd + vfnmsub231pd + :M[color.string],3M[color.set] + :M[color.operator],4M[color.set] + :M[color.keyword],6M[color.set] + :M[color.comment],11M[color.set] + :M[color.string2],12M[color.set] +} diff --git a/lib/lexers/ave.tes b/lib/lexers/ave.tes new file mode 100644 index 0000000..6ee8730 --- /dev/null +++ b/lib/lexers/ave.tes @@ -0,0 +1,20 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.ave]{ [_ + _.aveM[lexer.checkname] +]_ } + +@[lexer.set.ave]{ + ESSETLEXER,SCLEX_AVE + 0ESSETKEYWORDS + nil true false else for if while then elseif end av self in exit + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],6M[color.set] + :M[color.preproc],7M[color.set] + :M[color.operator],10M[color.set] + :M[color.keyword],14M[color.set] + :M[color.keyword],15M[color.set] + :M[color.keyword],16M[color.set] +} diff --git a/lib/lexers/avs.tes b/lib/lexers/avs.tes new file mode 100644 index 0000000..11cf442 --- /dev/null +++ b/lib/lexers/avs.tes @@ -0,0 +1,108 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.avs]{ [_ + _.[avs,avsi]M[lexer.checkname] +]_ } + +@[lexer.set.avs]{ + ESSETLEXER,SCLEX_AVS + 0ESSETKEYWORDS + true false return global + 1ESSETKEYWORDS + addborders alignedsplice amplify amplifydb animate applyrange assumebff + assumefieldbased assumefps assumeframebased assumesamplerate assumescaledfps assumetff + audiodub audiodubex avifilesource avisource bicubicresize bilinearresize + blackmanresize blackness blankclip blur bob cache changefps colorbars colorkeymask coloryuv + compare complementparity conditionalfilter conditionalreader convertaudio + convertaudioto16bit convertaudioto24bit convertaudioto32bit convertaudioto8bit + convertaudiotofloat convertbacktoyuy2 convertfps converttobackyuy2 converttomono converttorgb + converttorgb24 converttorgb32 converttoy8 converttoyv16 converttoyv24 converttoyv411 + converttoyuy2 converttoyv12 crop cropbottom delayaudio deleteframe dissolve distributor + doubleweave duplicateframe ensurevbrmp3sync fadein fadein0 fadein2 fadeio fadeio0 + fadeio2 fadeout fadeout0 fadeout2 fixbrokenchromaupsampling fixluminance + fliphorizontal flipvertical frameevaluate freezeframe gaussresize generalconvolution + getchannel getchannels getmtmode getparity grayscale greyscale histogram + horizontalreduceby2 imagereader imagesource imagewriter info interleave internalcache + internalcachemt invert killaudio killvideo lanczos4resize lanczosresize layer letterbox + levels limiter loop mask maskhs max merge mergeargb mergechannels mergechroma + mergeluma mergergb messageclip min mixaudio monotostereo normalize null opendmlsource + overlay peculiarblend pointresize pulldown reduceby2 resampleaudio resetmask reverse + rgbadjust scriptclip segmentedavisource segmenteddirectshowsource selecteven + selectevery selectodd selectrangeevery separatefields setmtmode sharpen showalpha + showblue showfiveversions showframenumber showgreen showred showsmpte showtime + sincresize skewrows spatialsoften spline16resize spline36resize spline64resize ssrc + stackhorizontal stackvertical subtitle subtract supereq swapfields swapuv temporalsoften + timestretch tone trim turn180 turnleft turnright tweak unalignedsplice utoy utoy8 + version verticalreduceby2 vtoy vtoy8 wavsource weave writefile writefileend + writefileif writefilestart ytouv + 2ESSETKEYWORDS + addgrain addgrainc agc_hdragc analyzelogo animeivtc asharp audiograph + autocrop autoyuy2 avsrecursion awarpsharp bassaudiosource bicublinresize bifrost + binarize blendfields blindpp blockbuster bordercontrol cfielddiff cframediff + chromashift cnr2 colormatrix combmask contra convolution3d convolution3dyv12 dctfilter + ddcc deblendlogo deblock deblock_qed decimate decomb dedup deen deflate + degrainmedian depan depanestimate depaninterleave depanscenes depanstabilize descratch + despot dfttest dgbob dgsource directshowsource distancefunction dss2 dup dupmc + edeen edgemask ediupsizer eedi2 eedi3 eedi3_rpow2 expand faerydust + fastbicubicresize fastbilinearresize fastediupsizer dedgemask fdecimate ffaudiosource ffdshow + ffindex ffmpegsource ffmpegsource2 fft3dfilter fft3dgpu ffvideosource + fielddeinterlace fielddiff fillmargins fity2uv fity2u fity2v fitu2y fitv2y fluxsmooth + fluxsmoothst fluxsmootht framediff framenumber frfun3b frfun7 gicocu golddust gradfun2db + grapesmoother greedyhma grid guavacomb hqdn3d hybridfupp hysteresymask ibob + improvesceneswitch inflate inpand inpaintlogo interframe interlacedresize + interlacedwarpedresize interleaved2planar iscombed iscombedt iscombedtivtc kerneldeint + leakkernelbob leakkerneldeint limitedsharpen limitedsharpenfaster logic lsfmod lumafilter + lumayv12 manalyse maskeddeinterlace maskedmerge maskedmix mblockfps mcompensate + mctemporaldenoise mctemporaldenoisepp mdegrain1 mdegrain2 mdegrain3 mdepan medianblur + mergehints mflow mflowblur mflowfps mflowinter minblur mipsmooth mmask moderatesharpen + monitorfilter motionmask mpasource mpeg2source mrecalculate mscdetection msharpen mshow + msmooth msu_fieldshiftfixer msu_frc msuper mt mt_adddiff mt_average mt_binarize + mt_circle mt_clamp mt_convolution mt_deflate mt_diamond mt_edge mt_ellipse mt_expand + mt_freeellipse mt_freelosange mt_freerectangle mt_hysteresis mt_infix mt_inflate mt_inpand + mt_invert mt_logic mt_losange mt_lut mt_lutf mt_luts mt_lutspa mt_lutsx mt_lutxy + mt_lutxyz mt_makediff mt_mappedblur mt_merge mt_motion mt_polish mt_rectangle + mt_square mti mtsource multidecimate mvanalyse mvblockfps mvchangecompensate + mvcompensate mvdegrain1 mvdegrain2 mvdegrain3 mvdenoise mvdepan mvflow mvflowblur + mvflowfps mvflowfps2 mvflowinter mvincrease mvmask mvrecalculate mvscdetection mvshow + nicac3source nicdtssource niclpcmsource nicmpasource nicmpg123source nnedi nnedi2 + nnedi2_rpow2 nnedi3 nnedi3_rpow2 nomosmooth overlaymask peachsmoother pixiedust + planar2interleaved qtgmc qtinput rawavsource rawsource reduceflicker reinterpolate411 + removedirt removedust removegrain removegrainhd removetemporalgrain repair + requestlinear reversefielddominance rgb3dlut rgdeinterlace rgsdeinterlace rgblut rotate + sangnom seesaw sharpen2 showchannels showcombedtivtc smartdecimate smartdeinterlace + smdegrain smoothdeinterlace smoothuv soothess soxfilter spacedust sshiq ssim ssiq + stmedianfilter t3dlut tanisotropic tbilateral tcanny tcomb tcombmask tcpserver tcpsource + tdecimate tdeint tedgemask telecide temporalcleaner temporalrepair temporalsmoother + tfieldblank tfm tisophote tivtc tmaskblank tmaskedmerge tmaskedmerge3 tmm tmonitor + tnlmeans tomsmocomp toon textsub ttempsmooth ttempsmoothf tunsharp unblock uncomb + undot unfilter unsharpmask vaguedenoiser variableblur verticalcleaner videoscope + vinverse vobsub vqmcalc warpedresize warpsharp xsharpen yadif yadifmod yuy2lut + yv12convolution yv12interlacedreduceby2 yv12interlacedselecttopfields yv12layer yv12lut + yv12lutxy yv12substract yv12torgb24 yv12toyuy2 + 3ESSETKEYWORDS + abs apply assert bool ceil chr clip continueddenominator continuednumerator + cos default defined eval averagechromau averagechromav averageluma + chromaudifference chromavdifference lumadifference exist exp findstr float floor frac hexvalue + import int isbool isclip isfloat isint isstring lcase leftstr load_stdcall_plugin + loadcplugin loadplugin loadvfapiplugin loadvirtualdubplugin log midstr muldiv nop + opt_allowfloataudio opt_avipadscanlines opt_dwchannelmask opt_usewaveextensible + opt_vdubplanarhack pi pow rand revstr rightstr round scriptdir scriptfile scriptname select + setmemorymax setplanarlegacyalignment rgbdifference rgbdifferencefromprevious + rgbdifferencetonext udifferencefromprevious udifferencetonext setworkingdir sign sin spline sqrt + string strlen time ucase undefined value versionnumber versionstring uplanemax + uplanemedian uplanemin uplaneminmaxdifference vdifferencefromprevious vdifferencetonext + vplanemax vplanemedian vplanemin vplaneminmaxdifference ydifferencefromprevious + ydifferencetonext yplanemax yplanemedian yplanemin yplaneminmaxdifference + 4ESSETKEYWORDS + audiobits audiochannels audiolength audiolengthf audiorate framecount + framerate frameratedenominator frameratenumerator getleftchannel getrightchannel + hasaudio hasvideo height isaudiofloat isaudioint isfieldbased isframebased + isinterleaved isplanar isrgb isrgb24 isrgb32 isyuv isyuy2 isyv12 width + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.operator],5M[color.set] + :M[color.string],7M[color.set] + :M[color.string],8M[color.set] +} diff --git a/lib/lexers/awk.tes b/lib/lexers/awk.tes new file mode 100644 index 0000000..e9b98a0 --- /dev/null +++ b/lib/lexers/awk.tes @@ -0,0 +1,24 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.awk]{ [_ + _.awkM[lexer.checkname] +]_ } + +@[lexer.set.awk]{ + ESSETLEXER,SCLEX_PERL + 0ESSETKEYWORDS + BEGIN END if else while do for in break continue delete exit function return + print printf sprintf system close getline next nextfile fflush atan2 cos exp int + log rand sin sqrt srand asort asorti gensub sub gsub index length match split + strtonum substr tolower toupper mktime strftime systime and compl lshift or rshift + xor bindtextdomain dcgettext dcngettext ARGC ARGIND ARGV BINMODE CONVFMT ENVIRON + ERRNO FIELDWIDTHS FILENAME FNR FS IGNORECASE LINT NF NR OFMT OFS ORS PROCINFO RS + RT RSTART RLENGTH SUBSEP TEXTDOMAIN + :M[color.error],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/baan.tes b/lib/lexers/baan.tes new file mode 100644 index 0000000..522d779 --- /dev/null +++ b/lib/lexers/baan.tes @@ -0,0 +1,16 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.baan]{ [_ + _.[bc,cln]M[lexer.checkname] +]_ } + +@[lexer.set.baan]{ + ESSETLEXER,SCLEX_BAAN + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],3M[color.set] + :M[color.keyword],4M[color.set] + :M[color.string],5M[color.set] + :M[color.preproc],6M[color.set] + :M[color.operator],7M[color.set] +} diff --git a/lib/lexers/blitzbasic.tes b/lib/lexers/blitzbasic.tes new file mode 100644 index 0000000..618661c --- /dev/null +++ b/lib/lexers/blitzbasic.tes @@ -0,0 +1,63 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.blitzbasic]{ [_ + _.bbM[lexer.checkname] +]_ } + +@[lexer.set.blitzbasic]{ + ESSETLEXER,SCLEX_BLITZBASIC + 0ESSETKEYWORDS + abs accepttcpstream acos after and apptitle asc asin atan atan2 + automidhandle autosuspend availvidmem backbuffer banksize before bin calldll case ceil + changedir channelpan channelpitch channelplaying channelvolume chr closedir closefile + closemovie closetcpserver closetcpstream closeudpstream cls clscolor color colorblue + colorgreen colorred commandline const copybank copyfile copyimage copypixel + copypixelfast copyrect copystream cos countgfxdrivers countgfxmodes counthostips + createbank createdir createimage createnetplayer createprocess createtcpserver + createtimer createudpstream currentdate currentdir currenttime data debuglog default + delay delete deletedir deletefile deletenetplayer desktopbuffer dim dottedip + drawblock drawblockrect drawimage drawimagerect drawmovie each else else if elseif end + end function end if end select end type endgraphics endif eof execfile exit exp + false field filepos filesize filetype first flip float floor flushjoy flushkeys + flushmouse fontheight fontname fontsize fontstyle fontwidth for forever freebank + freefont freeimage freesound freetimer frontbuffer function gammablue gammagreen + gammared getcolor getenv getkey getmouse gfxdrivername gfxmodedepth gfxmodeexists + gfxmodeformat gfxmodeheight gfxmodewidth global gosub goto grabimage graphics + graphicsbuffer graphicsdepth graphicsformat graphicsheight graphicswidth handleimage hex + hidepointer hostip hostnetgame if imagebuffer imageheight imagerectcollide + imagerectoverlap imagescollide imagesoverlap imagewidth imagexhandle imageyhandle include + input insert instr int joinnetgame joydown joyhat joyhit joypitch joyroll joytype + joyu joyudir joyv joyvdir joyx joyxdir joyy joyyaw joyydir joyz joyzdir keydown + keyhit keywait last left len line loadanimimage loadbuffer loadfont loadimage + loadsound local lockbuffer lockedformat lockedpitch lockedpixels log log10 loopsound + lower lset maskimage mid midhandle millisecs mod morefiles mousedown mousehit + mousex mousexspeed mousey mouseyspeed mousez mousezspeed movemouse movieheight + movieplaying moviewidth netmsgdata netmsgfrom netmsgto netmsgtype netplayerlocal + netplayername new next nextfile not null openfile openmovie opentcpstream or origin oval + pausechannel pausetimer peekbyte peekfloat peekint peekshort pi playcdtrack playmusic + playsound plot pokebyte pokefloat pokeint pokeshort print queryobject rand read + readavail readbyte readbytes readdir readfile readfloat readint readline readpixel + readpixelfast readshort readstring rect rectsoverlap recvnetmsg recvudpmsg repeat replace + resettimer resizebank resizeimage restore resumechannel resumetimer return right rnd + rndseed rotateimage rset runtimeerror sar savebuffer saveimage scaleimage scanline + seedrnd seekfile select sendnetmsg sendudpmsg setbuffer setenv setfont setgamma + setgfxdriver sgn shl showpointer shr sin soundpan soundpitch soundvolume sqr startnetgame + step stop stopchannel stopnetgame str string stringheight stringwidth + systemproperty tan tcpstreamip tcpstreamport tcptimeouts text tformfilter tformimage then + tileblock tileimage timerticks to totalvidmem trim true type udpmsgip udpmsgport + udpstreamip udpstreamport udptimeouts unlockbuffer until updategamma upper viewport + vwait waitkey waitmouse waittimer wend while write writebyte writebytes writefile + writefloat writeint writeline writepixel writepixelfast writeshort writestring xor + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],4M[color.set] + :M[color.operator],6M[color.set] + :M[color.keyword],10M[color.set] + :M[color.keyword],11M[color.set] + :M[color.keyword],12M[color.set] + :M[color.preproc],15M[color.set] + :M[color.error],16M[color.set] + :M[color.number],17M[color.set] + :M[color.number],18M[color.set] +} diff --git a/lib/lexers/caml.tes b/lib/lexers/caml.tes new file mode 100644 index 0000000..f9827e2 --- /dev/null +++ b/lib/lexers/caml.tes @@ -0,0 +1,21 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.caml]{ [_ + _.[ml,mli]M[lexer.checkname] +]_ } + +@[lexer.set.caml]{ + ESSETLEXER,SCLEX_CAML + 0ESSETKEYWORDS + and as assert asr begin class constraint do done downto else end exception + external false for fun function functor if in include inherit initializer land lazy + let lor lsl lsr lxor match method mod module mutable new object of open or + private rec sig struct then to true try type val virtual when while with + 1ESSETKEYWORDS + option Some None ignore ref lnot succ pred parser + 2ESSETKEYWORDS + array bool char float int list string unit + :M[color.keyword],3M[color.set] + :M[color.operator],7M[color.set] + :M[color.comment],12M[color.set] +} diff --git a/lib/lexers/ch.tes b/lib/lexers/ch.tes new file mode 100644 index 0000000..f7046c7 --- /dev/null +++ b/lib/lexers/ch.tes @@ -0,0 +1,27 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.ch]{ [_ + _.[ch,chf,chs]M[lexer.checkname] +]_ } + +@[lexer.set.ch]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + auto array bool break case char class complex ComplexInf ComplexNaN const + continue default delete do double else enum export extern float for foreach goto if + Inf inline int long namespace NaN new NULL private public register restrict + return short signed sizeof static string_t struct switch this typedef union + unsigned using void volatile wchar_t while __declspec + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/cobol.tes b/lib/lexers/cobol.tes new file mode 100644 index 0000000..f54ccc9 --- /dev/null +++ b/lib/lexers/cobol.tes @@ -0,0 +1,89 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.cobol]{ [_ + _.cobM[lexer.checkname] +]_ } + +@[lexer.set.cobol]{ + ESSETLEXER,SCLEX_COBOL + 0ESSETKEYWORDS + configuration data declaratives division environment environment-division + file file-control function i-o i-o-control identification input input-output + linkage local-storage output procedure program program-id receive-control section + special-names working-storage + 1ESSETKEYWORDS + accept add alter apply assign call chain close compute continue control + convert copy count delete display divide draw drop eject else enable end-accept + end-add end-call end-chain end-compute end-delete end-display end-divide + end-evaluate end-if end-invoke end-multiply end-perform end-read end-receive end-return + end-rewrite end-search end-start end-string end-subtract end-unstring end-write erase + evaluate examine exec execute exit go goback generate if ignore initialize initiate + insert inspect invoke leave merge move multiply open otherwise perform print read + receive release reload replace report reread rerun reserve reset return rewind + rewrite rollback run search seek select send set sort start stop store string + subtract sum suppress terminate then transform unlock unstring update use wait when + wrap write + 2ESSETKEYWORDS + access acquire actual address advancing after all allowing alphabet + alphabetic alphabetic-lower alphabetic-upper alphanumeric alphanumeric-edited also + alternate and any are area areas as ascending at attribute author auto + auto-hyphen-skip auto-skip automatic autoterminate background-color background-colour + backward basis beep before beginning bell binary blank blink blinking block bold + bottom box boxed by c01 c02 c03 c04 c05 c06 c07 c08 c09 c10 c11 c12 cancel cbl cd + centered cf ch chaining changed character characters chart class clock-units cobol + code code-set col collating color colour column com-reg comma command-line commit + commitment common communication comp comp-0 comp-1 comp-2 comp-3 comp-4 comp-5 comp-6 + comp-x compression computational computational-1 computational-2 computational-3 + computational-4 computational-5 computational-6 computational-x computational console + contains content control-area controls conversion converting core-index corr + corresponding crt crt-under csp currency current-date cursor cycle cyl-index cyl-overflow + date date-compiled date-written day day-of-week dbcs de debug debug-contents + debug-item debug-line debug-name debug-sub-1 debug-sub-2 debug-sub-3 debugging + decimal-point default delimited delimiter depending descending destination detail disable + disk disp display-1 display-st down duplicates dynamic echo egcs egi emi + empty-check encryption end end-of-page ending enter entry eol eop eos equal equals error + escape esi every exceeds exception excess-3 exclusive exhibit extend + extended-search external externally-described-key factory false fd fh--fcd fh--keydef + file-id file-limit file-limits file-prefix filler final first fixed footing for + foreground-color foreground-colour footing format from full giving global greater grid group + heading high high-value high-values highlight id in index indexed indic indicate + indicator indicators inheriting initial installation into invalid invoked is japanese + just justified kanji kept key keyboard label last leading left left-justify + leftline length length-check less limit limits lin linage linage-counter line + line-counter lines lock lock-holding locking low low-value low-values lower lowlight + manual mass-update master-index memory message method mode modified modules + more-labels multiple name named national national-edited native nchar negative next no + no-echo nominal not note nstd-reels null nulls number numeric numeric-edited + numeric-fill o-fill object object-computer object-storage occurs of off omitted on + oostackptr optional or order organization other others overflow overline packed-decimal + padding page page-counter packed-decimal paragraph password pf ph pic picture plus + pointer pop-up pos position positioning positive previous print-control print-switch + printer printer-1 printing prior private procedure-pointer procedures proceed + process processing prompt protected public purge queue quote quotes random range rd + readers ready record record-overflow recording records redefines reel reference + references relative remainder remarks removal renames reorg-criteria repeated replacing + reporting reports required resident return-code returning reverse reverse-video + reversed rf rh right right-justify rolling rounded s01 s02 s03 s04 s05 same screen + scroll sd secure security segment segment-limit selective self selfclass sentence + separate sequence sequential service setshadow shift-in shift-out sign size skip1 + skip2 skip3 sort-control sort-core-size sort-file-size sort-merge sort-message + sort-mode-size sort-option sort-return source source-computer space spaces space-fill + spaces standard standard-1 standard-2 starting status sub-queue-1 sub-queue-2 + sub-queue-3 subfile super symbolic sync synchronized sysin sysipt syslst sysout syspch + syspunch system-info tab tallying tape terminal terminal-info test text than through + thru time time-of-day time-out timeout times title to top totaled totaling trace + track-area track-limit tracks trailing trailing-sign transaction true type typedef + underline underlined unequal unit until up updaters upon upper upsi-0 upsi-1 upsi-2 + upsi-3 upsi-4 upsi-5 upsi-6 upsi-7 usage user using value values variable varying + when-compiled window with words write-only write-verify writerszero zero zero-fill zeros + zeroes + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.keyword],16M[color.set] +} diff --git a/lib/lexers/cs.tes b/lib/lexers/cs.tes new file mode 100644 index 0000000..8121c97 --- /dev/null +++ b/lib/lexers/cs.tes @@ -0,0 +1,58 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.cs]{ [_ + _.csM[lexer.checkname] +]_ } + +@[lexer.set.cs]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + abstract as ascending base bool break by byte case catch char checked class + const continue decimal default delegate descending do double else enum equals + event explicit extern false finally fixed float for foreach from goto group if + implicit in int interface internal into is join lock let long namespace new null + object on operator orderby out override params private protected public readonly + ref return sbyte sealed select short sizeof stackalloc static string struct + switch this throw true try typeof uint ulong unchecked unsafe ushort using var + virtual void volatile where while + 1ESSETKEYWORDS + sr kr ar ksmps nchnls + 2ESSETKEYWORDS + border-top-color border-right-color border-bottom-color border-left-color + border-color border-top-style border-right-style border-bottom-style border-left-style + border-style top right bottom left position z-index direction unicode-bidi min-width + max-width min-height max-height overflow clip visibility content quotes counter-reset + counter-increment marker-offset size marks page-break-before page-break-after + page-break-inside page orphans widows font-stretch font-size-adjust unicode-range units-per-em + src panose-1 stemv stemh slope cap-height x-height ascent descent widths bbox + definition-src baseline centerline mathline topline text-shadow caption-side table-layout + border-collapse border-spacing empty-cells speak-header cursor outline outline-width + outline-style outline-color volume speak pause-before pause-after pause cue-before + cue-after cue play-during azimuth elevation speech-rate voice-family pitch pitch-range + stress richness speak-punctuation speak-numeral + 3ESSETKEYWORDS + background-size border-radius border-top-right-radius + border-bottom-right-radius border-bottom-left-radius border-top-left-radius box-shadow columns + column-width column-count column-rule column-gap column-rule-color column-rule-style + column-rule-width resize opacity word-wrap + 4ESSETKEYWORDS + first-letter first-line before after selection + 5ESSETKEYWORDS + ^-moz- ^-webkit- ^-o- ^-ms- filter + 6ESSETKEYWORDS + indeterminate default ^-moz- ^-webkit- ^-o- ^-ms- + 7ESSETKEYWORDS + ^-moz- ^-webkit- ^-o- ^-ms- + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/d.tes b/lib/lexers/d.tes new file mode 100644 index 0000000..5ca421d --- /dev/null +++ b/lib/lexers/d.tes @@ -0,0 +1,78 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.d]{ [_ + _.dM[lexer.checkname] +]_ } + +@[lexer.set.d]{ + ESSETLEXER,SCLEX_D + 0ESSETKEYWORDS + abstract alias align asm assert auto body bool break byte case cast catch + cdouble cent cfloat char class const continue creal dchar debug default delegate + delete deprecated do double else enum export extern false final finally float for + foreach foreach_reverse function goto idouble if ifloat import in inout int + interface invariant ireal is lazy long mixin module new null out override package + pragma private protected public real return scope short static struct super switch + synchronized template this throw true try typedef typeid typeof ubyte ucent uint ulong + union unittest ushort version void volatile wchar while with + 1ESSETKEYWORDS + AttribQuick AttribSendReceive AttribByte AttribBytes AttribRawBytes + AttribRawProcessBytes AttribWord AttribBlock AttribProcessCall AttribBlockProcessCall AnyAcc + ByteAcc WordAcc DWordAcc QWordAcc BufferAcc AddressRangeMemory AddressRangeReserved + AddressRangeNVS AddressRangeACPI RegionSpaceKeyword FFixedHW PCC AddressingMode7Bit + AddressingMode10Bit DataBitsFive DataBitsSix DataBitsSeven DataBitsEight DataBitsNine BusMaster + NotBusMaster ClockPhaseFirst ClockPhaseSecond ClockPolarityLow ClockPolarityHigh + SubDecode PosDecode BigEndianing LittleEndian AttribBytes AttribRawBytes + AttribRawProcessBytes FlowControlNone FlowControlXon FlowControlHardware Edge Level ActiveHigh + ActiveLow ActiveHigh ActiveLow ActiveBoth Decode16 Decode10 IoRestrictionNone + IoRestrictionInputOnly IoRestrictionOutputOnly IoRestrictionNoneAndPreserve Lock NoLock MTR MEQ MLE + MLT MGE MGT MaxFixed MaxNotFixed Cacheable WriteCombining Prefetchable + NonCacheable MinFixed MinNotFixed UnknownObj IntObj StrObj BuffObj PkgObj FieldUnitObj + DeviceObj EventObj MethodObj MutexObj OpRegionObj PowerResObj ProcessorObj + ThermalZoneObj BuffFieldObj DDBHandleObj ParityTypeNone ParityTypeSpace ParityTypeMark + ParityTypeOdd ParityTypeEven PullDefault PullUp PullDown PullNone PolarityHigh PolarityLow + ISAOnlyRanges NonISAOnlyRanges EntireRange ReadWrite ReadOnly UserDefRegionSpace SystemIO + SystemMemory PCI_Config EmbeddedControl SMBus SystemCMOS PciBarTarget IPMI + GeneralPurposeIO GenericSerialBus ResourceConsumer ResourceProducer Serialized NotSerialized + Shared Exclusive SharedAndWake ExclusiveAndWake ControllerInitiated DeviceInitiated + StopBitsZero StopBitsOne StopBitsOnePlusHalf StopBitsTwo Width8Bit Width16Bit Width32Bit + Width64Bit Width128Bit Width256Bit SparseTranslation DenseTranslation TypeTranslation + TypeStatic Preserve WriteAsOnes WriteAsZeros Transfer8 Transfer16 Transfer8_16 + ThreeWireMode FourWireMode + 2ESSETKEYWORDS + addressof alias and as attribute base begin binary boolean byref byte byval + call case cdbl cint clng compare const csng cstr currency date decimal declare + defbool defbyte defcur defdate defdbl defdec defint deflng defobj defsng defstr + defvar dim do double each else elseif empty end enum eqv erase error event exit + explicit false for friend function get global gosub goto if imp implements in input + integer is len let lib like load lock long loop lset me mid midb mod new next not + nothing null object on option optional or paramarray preserve print private property + public raiseevent randomize redim rem resume return rset seek select set single + static step stop string sub text then time to true type typeof unload until variant + wend while with withevents xor + 3ESSETKEYWORDS + and as assert break class continue def del elif else except exec finally for + from global if import in is lambda not or pass print raise return try while with + yield + 4ESSETKEYWORDS + and array as bool boolean break case cfunction class const continue declare + default die directory do double echo else elseif empty enddeclare endfor endforeach + endif endswitch endwhile eval exit extends false float for foreach function global + goto if include include_once int integer isset list namespace new null object + old_function or parent print real require require_once resource return static stdclass + string switch true unset use var while xor abstract catch clone exception final + implements interface php_user_filter private protected public this throw try __class__ + __dir__ __file__ __function__ __line__ __method__ __namespace__ __sleep __wakeup + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],5M[color.set] + :M[color.keyword],6M[color.set] + :M[color.keyword],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.keyword],9M[color.set] + :M[color.string],10M[color.set] + :M[color.string2],12M[color.set] + :M[color.operator],13M[color.set] + :M[color.comment],15M[color.set] +} diff --git a/lib/lexers/docbook.tes b/lib/lexers/docbook.tes new file mode 100644 index 0000000..58e0988 --- /dev/null +++ b/lib/lexers/docbook.tes @@ -0,0 +1,78 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.docbook]{ [_ + _.docbookM[lexer.checkname] +]_ } + +@[lexer.set.docbook]{ + ESSETLEXER,SCLEX_HYPERTEXT + 0ESSETKEYWORDS + abbrev abstract accel ackno acronym action address affiliation alt anchor + answer appendix appendixinfo application area areaset areaspec arg article + articleinfo artpagenums attribution audiodata audioobject author authorblurb authorgroup + authorinitials beginpage bibliocoverage bibliodiv biblioentry bibliography bibliographyinfo + biblioid bibliomisc bibliomixed bibliomset bibliorelation biblioset bibliosource + blockinfo blockquote book bookinfo bridgehead callout calloutlist caption caution + chapter chapterinfo citation citebiblioid citerefentry citetitle city classname + classsynopsis classsynopsisinfo cmdsynopsis co collab collabname colophon colspec command + computeroutput confdates confgroup confnum confsponsor conftitle constant constraint + constraintdef constructorsynopsis contractnum contractsponsor contrib copyright coref + corpauthor corpname country database date dedication destructorsynopsis edition editor + email emphasis entry entrytbl envar epigraph equation errorcode errorname + errortext errortype example exceptionname fax fieldsynopsis figure filename firstname + firstterm footnote footnoteref foreignphrase formalpara funcdef funcparams + funcprototype funcsynopsis funcsynopsisinfo function glossary glossaryinfo glossdef + glossdiv glossentry glosslist glosssee glossseealso glossterm graphic graphicco group + guibutton guiicon guilabel guimenu guimenuitem guisubmenu hardware highlights holder + honorific htm imagedata imageobject imageobjectco important index indexdiv indexentry + indexinfo indexterm informalequation informalexample informalfigure informaltable + initializer inlineequation inlinegraphic inlinemediaobject interface interfacename + invpartnumber isbn issn issuenum itemizedlist itermset jobtitle keycap keycode keycombo + keysym keyword keywordset label legalnotice lhs lineage lineannotation link + listitem iteral literallayout lot lotentry manvolnum markup medialabel mediaobject + mediaobjectco member menuchoice methodname methodparam methodsynopsis mm modespec modifier + ousebutton msg msgaud msgentry msgexplan msginfo msglevel msgmain msgorig msgrel msgset + msgsub msgtext nonterminal note objectinfo olink ooclass ooexception oointerface + option optional orderedlist orgdiv orgname otheraddr othercredit othername pagenums + para paramdef parameter part partinfo partintro personblurb personname phone + phrase pob postcode preface prefaceinfo primary primaryie printhistory procedure + production productionrecap productionset productname productnumber programlisting + programlistingco prompt property pubdate publisher publishername pubsnumber qandadiv + qandaentry qandaset question quote refclass refdescriptor refentry refentryinfo + refentrytitle reference referenceinfo refmeta refmiscinfo refname refnamediv refpurpose + refsect1 refsect1info refsect2 refsect2info refsect3 refsect3info refsection + refsectioninfo refsynopsisdiv refsynopsisdivinfo releaseinfo remark replaceable returnvalue + revdescription revhistory revision revnumber revremark rhs row sbr screen screenco + screeninfo screenshot secondary secondaryie sect1 sect1info sect2 sect2info sect3 + sect3info sect4 sect4info sect5 sect5info section sectioninfo see seealso seealsoie + seeie seg seglistitem segmentedlist segtitle seriesvolnums set setindex + setindexinfo setinfo sgmltag shortaffil shortcut sidebar sidebarinfo simpara simplelist + simplemsgentry simplesect spanspec state step street structfield structname subject + subjectset subjectterm subscript substeps subtitle superscript surname sv symbol + synopfragment synopfragmentref synopsis systemitem table tbody term tertiary tertiaryie + textdata textobject tfoot tgroup thead tip title titleabbrev toc tocback tocchap + tocentry tocfront toclevel1 toclevel2 toclevel3 toclevel4 toclevel5 tocpart token + trademark type ulink userinput varargs variablelist varlistentry varname videodata + videoobject void volumenum warning wordasword xref year arch condition conformance id + lang os remap role revision revisionflag security userlevel vendor xreflabel + status label endterm linkend space width + 5ESSETKEYWORDS + ELEMENT DOCTYPE ATTLIST ENTITY NOTATION + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.comment],42M[color.set] + :M[color.comment],43M[color.set] + :M[color.comment],44M[color.set] + :M[color.number],45M[color.set] + :M[color.keyword],47M[color.set] + :M[color.string],48M[color.set] + :M[color.string],49M[color.set] + :M[color.operator],50M[color.set] + :M[color.comment],72M[color.set] + :M[color.comment],82M[color.set] + :M[color.comment],92M[color.set] + :M[color.comment],107M[color.set] + :M[color.comment],124M[color.set] + :M[color.comment],125M[color.set] +} diff --git a/lib/lexers/eiffel.tes b/lib/lexers/eiffel.tes new file mode 100644 index 0000000..aa53ae3 --- /dev/null +++ b/lib/lexers/eiffel.tes @@ -0,0 +1,105 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.eiffel]{ [_ + _.eM[lexer.checkname] +]_ } + +@[lexer.set.eiffel]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + struct unit integer real bool int long uint nibble byte bits bytes bit time + string var instance event verilog vhdl on compute start expect check that routine + specman is also first only with like list of all radix hex dec bin ignore illegal + traceable untraceable cover using count_only trace_only at_least transition item + ranges cross text call task within packing low high locker address body code vec + chars byte_array external_pointer choose matches if then else when try case casex + casez default and or not xor until repeat while for from to step each do break + continue before next always -kind network index it me in new return result select + cycle sample events forever wait change negedge rise fall delay sync sim true + detach eventually emit gen keep keeping soft before define as computed type extend + variable global sys import untyped symtab ECHO DOECHO initialize non_terminal + testgroup delayed exit finish out append print outf appendf post_generate pre_generate + setup_test finalize_test extract_test init run copy as_a a set_config dut_error add + clear lock quit lock unlock release swap quit to_string value stop_run crc_8 + crc_32 crc_32_flip get_config add0 all_indices and_all apply average count delete + exists first_index get_indices has insert is_a_permutation is_empty key key_exists + key_index last last_index max max_index max_value min min_index min_value or_all pop + pop0 push push0 product resize reverse sort split sum top top0 unique clear + is_all_iterations get_enclosing_unit hdl_path exec deep_compare deep_compare_physical pack + unpack warning error fatal size files load module ntv source_ref script read write + initial idle others posedge clock cycles statement action command member exp block + num file + 1ESSETKEYWORDS + apply build buildindex evaluate fail keydiff keypatch loadxml notify output + parallel sequential soapcall + 2ESSETKEYWORDS + tk_bisque tk_chooseColor tk_dialog tk_focusFollowsMouse tk_focusNext + tk_focusPrev tk_getOpenFile tk_getSaveFile tk_messageBox tk_optionMenu tk_popup + tk_setPalette tk_textCopy tk_textCut tk_textPaste tkButtonAutoInvoke tkButtonDown + tkButtonEnter tkButtonInvoke tkButtonLeave tkButtonUp tkCancelRepeat tkCheckRadioDown + tkCheckRadioEnter tkCheckRadioInvoke tkColorDialog tkColorDialog_BuildDialog + tkColorDialog_CancelCmd tkColorDialog_Config tkColorDialog_CreateSelector + tkColorDialog_DrawColorScale tkColorDialog_EnterColorBar tkColorDialog_HandleRGBEntry + tkColorDialog_HandleSelEntry tkColorDialog_InitValues tkColorDialog_LeaveColorBar + tkColorDialog_MoveSelector tkColorDialog_OkCmd tkColorDialog_RedrawColorBars + tkColorDialog_RedrawFinalColor tkColorDialog_ReleaseMouse tkColorDialog_ResizeColorBars + tkColorDialog_RgbToX tkColorDialog_SetRGBValue tkColorDialog_StartMove tkColorDialog_XToRgb + tkConsoleAbout tkConsoleBind tkConsoleExit tkConsoleHistory tkConsoleInit tkConsoleInsert + tkConsoleInvoke tkConsoleOutput tkConsolePrompt tkConsoleSource tkDarken tkEntryAutoScan + tkEntryBackspace tkEntryButton1 tkEntryClosestGap tkEntryGetSelection tkEntryInsert + tkEntryKeySelect tkEntryMouseSelect tkEntryNextWord tkEntryPaste tkEntryPreviousWord + tkEntrySeeInsert tkEntrySetCursor tkEntryTranspose tkEventMotifBindings tkFDGetFileTypes + tkFirstMenu tkFocusGroup_BindIn tkFocusGroup_BindOut tkFocusGroup_Create + tkFocusGroup_Destroy tkFocusGroup_In tkFocusGroup_Out tkFocusOK tkGenerateMenuSelect tkIconList + tkIconList_Add tkIconList_Arrange tkIconList_AutoScan tkIconList_Btn1 tkIconList_Config + tkIconList_Create tkIconList_CtrlBtn1 tkIconList_Curselection tkIconList_DeleteAll + tkIconList_Double1 tkIconList_DrawSelection tkIconList_FocusIn tkIconList_FocusOut + tkIconList_Get tkIconList_Goto tkIconList_Index tkIconList_Invoke tkIconList_KeyPress + tkIconList_Leave1 tkIconList_LeftRight tkIconList_Motion1 tkIconList_Reset + tkIconList_ReturnKey tkIconList_See tkIconList_Select tkIconList_Selection tkIconList_ShiftBtn1 + tkIconList_UpDown tkListbox tkListboxAutoScan tkListboxBeginExtend tkListboxBeginSelect + tkListboxBeginToggle tkListboxCancel tkListboxDataExtend tkListboxExtendUpDown + tkListboxKeyAccel_Goto tkListboxKeyAccel_Key tkListboxKeyAccel_Reset tkListboxKeyAccel_Set + tkListboxKeyAccel_Unset tkListboxMotion tkListboxSelectAll tkListboxUpDown tkMbButtonUp tkMbEnter + tkMbLeave tkMbMotion tkMbPost tkMenuButtonDown tkMenuDownArrow tkMenuDup tkMenuEscape + tkMenuFind tkMenuFindName tkMenuFirstEntry tkMenuInvoke tkMenuLeave tkMenuLeftArrow + tkMenuMotion tkMenuNextEntry tkMenuNextMenu tkMenuRightArrow tkMenuUnpost tkMenuUpArrow + tkMessageBox tkMotifFDialog tkMotifFDialog_ActivateDList tkMotifFDialog_ActivateFEnt + tkMotifFDialog_ActivateFList tkMotifFDialog_ActivateSEnt tkMotifFDialog_BrowseDList + tkMotifFDialog_BrowseFList tkMotifFDialog_BuildUI tkMotifFDialog_CancelCmd tkMotifFDialog_Config + tkMotifFDialog_Create tkMotifFDialog_FileTypes tkMotifFDialog_FilterCmd + tkMotifFDialog_InterpFilter tkMotifFDialog_LoadFiles tkMotifFDialog_MakeSList tkMotifFDialog_OkCmd + tkMotifFDialog_SetFilter tkMotifFDialog_SetListMode tkMotifFDialog_Update tkPostOverPoint + tkRecolorTree tkRestoreOldGrab tkSaveGrabInfo tkScaleActivate tkScaleButton2Down + tkScaleButtonDown tkScaleControlPress tkScaleDrag tkScaleEndDrag tkScaleIncrement + tkScreenChanged tkScrollButton2Down tkScrollButtonDown tkScrollButtonDrag tkScrollButtonUp + tkScrollByPages tkScrollByUnits tkScrollDrag tkScrollEndDrag tkScrollSelect + tkScrollStartDrag tkScrollTopBottom tkScrollToPos tkTabToWindow tkTearOffMenu tkTextAutoScan + tkTextButton1 tkTextClosestGap tkTextInsert tkTextKeyExtend tkTextKeySelect tkTextNextPara + tkTextNextPos tkTextNextWord tkTextPaste tkTextPrevPara tkTextPrevPos tkTextPrevWord + tkTextResetAnchor tkTextScrollPages tkTextSelectTo tkTextSetCursor tkTextTranspose + tkTextUpDownLine tkTraverseToMenu tkTraverseWithinMenu + 3ESSETKEYWORDS + ascii big_endian boolean data decimal ebcdic integer pattern qstring real + record rule set of string token udecimal unicode unsigned varstring varunicode + 4ESSETKEYWORDS + @author @clear @copyright @deprecated @doc @docfile @end @equiv @headerfile + @hidden @private @reference @see @since @spec @throws @title @todo @TODO @type + @version + 5ESSETKEYWORDS + #append #break #declare #demangle #end #for #getdatatype #if #inmodule #loop + #mangle #onwarning #option #set #stored #uniquename #workunit integer symbol value + text symbol value + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/f77.tes b/lib/lexers/f77.tes new file mode 100644 index 0000000..9599090 --- /dev/null +++ b/lib/lexers/f77.tes @@ -0,0 +1,70 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.f77]{ [_ + _.[f,for]M[lexer.checkname] +]_ } + +@[lexer.set.f77]{ + ESSETLEXER,SCLEX_F77 + 0ESSETKEYWORDS + access action advance allocatable allocate apostrophe assign assignment + associate asynchronous backspace bind blank blockdata call case character class close + common complex contains continue critical cycle data deallocate decimal delim + default dimension direct do dowhile double doubleprecision else elseif elsewhere + encoding end endassociate endblockdata enddo endfile endforall endfunction endif + endinterface endmodule endprogram endselect endsubroutine endtype endwhere entry eor + equivalence err errmsg exist exit external file flush fmt forall form format formatted + function go goto id if implicit in include inout integer inquire intent interface + intrinsic iomsg iolength iostat kind len logical module name named namelist nextrec + nml none nullify number only open opened operator optional out pad parameter + pass pause pending pointer pos position precision print private procedure program + protected public quote read readwrite real rec recl recursive result return rewind + save select selectcase selecttype sequential sign size stat status stop stream + subroutine target then to type unformatted unit use value volatile wait where while + write + 1ESSETKEYWORDS + abs achar acos acosd adjustl adjustr aimag aimax0 aimin0 aint ajmax0 ajmin0 + akmax0 akmin0 all allocated alog alog10 amax0 amax1 amin0 amin1 amod anint any asin + asind associated atan atan2 atan2d atand bitest bitl bitlr bitrl bjtest bit_size + bktest break btest cabs ccos cdabs cdcos cdexp cdlog cdsin cdsqrt ceiling cexp char + clog cmplx conjg cos cosd cosh count cpu_time cshift csin csqrt dabs dacos dacosd + dasin dasind datan datan2 datan2d datand date date_and_time dble dcmplx dconjg + dcos dcosd dcosh dcotan ddim dexp dfloat dflotk dfloti dflotj digits dim dimag + dint dlog dlog10 dmax1 dmin1 dmod dnint dot_product dprod dreal dsign dsin dsind + dsinh dsqrt dtan dtand dtanh eoshift epsilon errsns exp exponent float floati + floatj floatk floor fraction free huge iabs iachar iand ibclr ibits ibset ichar + idate idim idint idnint ieor ifix iiabs iiand iibclr iibits iibset iidim iidint + iidnnt iieor iifix iint iior iiqint iiqnnt iishft iishftc iisign ilen imax0 imax1 + imin0 imin1 imod index inint inot int int1 int2 int4 int8 iqint iqnint ior ishft + ishftc isign isnan izext jiand jibclr jibits jibset jidim jidint jidnnt jieor jifix + jint jior jiqint jiqnnt jishft jishftc jisign jmax0 jmax1 jmin0 jmin1 jmod jnint + jnot jzext kiabs kiand kibclr kibits kibset kidim kidint kidnnt kieor kifix kind + kint kior kishft kishftc kisign kmax0 kmax1 kmin0 kmin1 kmod knint knot kzext + lbound leadz len len_trim lenlge lge lgt lle llt log log10 logical lshift malloc + matmul max max0 max1 maxexponent maxloc maxval merge min min0 min1 minexponent + minloc minval mod modulo mvbits nearest nint not nworkers number_of_processors pack + popcnt poppar precision present product radix random random_number random_seed + range real repeat reshape rrspacing rshift scale scan secnds selected_int_kind + selected_real_kind set_exponent shape sign sin sind sinh size sizeof sngl snglq spacing spread + sqrt sum system_clock tan tand tanh tiny transfer transpose trim ubound unpack + verify + 2ESSETKEYWORDS + cdabs cdcos cdexp cdlog cdsin cdsqrt cotan cotand dcmplx dconjg dcotan + dcotand decode dimag dll_export dll_import doublecomplex dreal dvchk encode find + flen flush getarg getcharqq getcl getdat getenv gettim hfix ibchng identifier + imag int1 int2 int4 intc intrup invalop iostat_msg isha ishc ishl jfix lacfar + locking locnear map nargs nbreak ndperr ndpexc offset ovefl peekcharqq precfill + prompt qabs qacos qacosd qasin qasind qatan qatand qatan2 qcmplx qconjg qcos qcosd + qcosh qdim qexp qext qextd qfloat qimag qlog qlog10 qmax1 qmin1 qmod qreal qsign + qsin qsind qsinh qsqrt qtan qtand qtanh ran rand randu rewrite segment setdat + settim system timer undfl unlock union val virtual volatile zabs zcos zexp zlog + zsin zsqrt + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.string],3M[color.set] + :M[color.string],4M[color.set] + :M[color.operator],6M[color.set] + :M[color.keyword],8M[color.set] + :M[color.preproc],11M[color.set] + :M[color.operator],12M[color.set] +} diff --git a/lib/lexers/f95.tes b/lib/lexers/f95.tes new file mode 100644 index 0000000..0b4bba1 --- /dev/null +++ b/lib/lexers/f95.tes @@ -0,0 +1,70 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.f95]{ [_ + _.[f90,f95,f2k]M[lexer.checkname] +]_ } + +@[lexer.set.f95]{ + ESSETLEXER,SCLEX_FORTRAN + 0ESSETKEYWORDS + access action advance allocatable allocate apostrophe assign assignment + associate asynchronous backspace bind blank blockdata call case character class close + common complex contains continue critical cycle data deallocate decimal delim + default dimension direct do dowhile double doubleprecision else elseif elsewhere + encoding end endassociate endblockdata enddo endfile endforall endfunction endif + endinterface endmodule endprogram endselect endsubroutine endtype endwhere entry eor + equivalence err errmsg exist exit external file flush fmt forall form format formatted + function go goto id if implicit in include inout integer inquire intent interface + intrinsic iomsg iolength iostat kind len logical module name named namelist nextrec + nml none nullify number only open opened operator optional out pad parameter + pass pause pending pointer pos position precision print private procedure program + protected public quote read readwrite real rec recl recursive result return rewind + save select selectcase selecttype sequential sign size stat status stop stream + subroutine target then to type unformatted unit use value volatile wait where while + write + 1ESSETKEYWORDS + abs achar acos acosd adjustl adjustr aimag aimax0 aimin0 aint ajmax0 ajmin0 + akmax0 akmin0 all allocated alog alog10 amax0 amax1 amin0 amin1 amod anint any asin + asind associated atan atan2 atan2d atand bitest bitl bitlr bitrl bjtest bit_size + bktest break btest cabs ccos cdabs cdcos cdexp cdlog cdsin cdsqrt ceiling cexp char + clog cmplx conjg cos cosd cosh count cpu_time cshift csin csqrt dabs dacos dacosd + dasin dasind datan datan2 datan2d datand date date_and_time dble dcmplx dconjg + dcos dcosd dcosh dcotan ddim dexp dfloat dflotk dfloti dflotj digits dim dimag + dint dlog dlog10 dmax1 dmin1 dmod dnint dot_product dprod dreal dsign dsin dsind + dsinh dsqrt dtan dtand dtanh eoshift epsilon errsns exp exponent float floati + floatj floatk floor fraction free huge iabs iachar iand ibclr ibits ibset ichar + idate idim idint idnint ieor ifix iiabs iiand iibclr iibits iibset iidim iidint + iidnnt iieor iifix iint iior iiqint iiqnnt iishft iishftc iisign ilen imax0 imax1 + imin0 imin1 imod index inint inot int int1 int2 int4 int8 iqint iqnint ior ishft + ishftc isign isnan izext jiand jibclr jibits jibset jidim jidint jidnnt jieor jifix + jint jior jiqint jiqnnt jishft jishftc jisign jmax0 jmax1 jmin0 jmin1 jmod jnint + jnot jzext kiabs kiand kibclr kibits kibset kidim kidint kidnnt kieor kifix kind + kint kior kishft kishftc kisign kmax0 kmax1 kmin0 kmin1 kmod knint knot kzext + lbound leadz len len_trim lenlge lge lgt lle llt log log10 logical lshift malloc + matmul max max0 max1 maxexponent maxloc maxval merge min min0 min1 minexponent + minloc minval mod modulo mvbits nearest nint not nworkers number_of_processors pack + popcnt poppar precision present product radix random random_number random_seed + range real repeat reshape rrspacing rshift scale scan secnds selected_int_kind + selected_real_kind set_exponent shape sign sin sind sinh size sizeof sngl snglq spacing spread + sqrt sum system_clock tan tand tanh tiny transfer transpose trim ubound unpack + verify + 2ESSETKEYWORDS + cdabs cdcos cdexp cdlog cdsin cdsqrt cotan cotand dcmplx dconjg dcotan + dcotand decode dimag dll_export dll_import doublecomplex dreal dvchk encode find + flen flush getarg getcharqq getcl getdat getenv gettim hfix ibchng identifier + imag int1 int2 int4 intc intrup invalop iostat_msg isha ishc ishl jfix lacfar + locking locnear map nargs nbreak ndperr ndpexc offset ovefl peekcharqq precfill + prompt qabs qacos qacosd qasin qasind qatan qatand qatan2 qcmplx qconjg qcos qcosd + qcosh qdim qexp qext qextd qfloat qimag qlog qlog10 qmax1 qmin1 qmod qreal qsign + qsin qsind qsinh qsqrt qtan qtand qtanh ran rand randu rewrite segment setdat + settim system timer undfl unlock union val virtual volatile zabs zcos zexp zlog + zsin zsqrt + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.string],3M[color.set] + :M[color.string],4M[color.set] + :M[color.operator],6M[color.set] + :M[color.keyword],8M[color.set] + :M[color.preproc],11M[color.set] + :M[color.operator],12M[color.set] +} diff --git a/lib/lexers/flagship.tes b/lib/lexers/flagship.tes new file mode 100644 index 0000000..bb79439 --- /dev/null +++ b/lib/lexers/flagship.tes @@ -0,0 +1,111 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.flagship]{ [_ + _.prgM[lexer.checkname] +]_ } + +@[lexer.set.flagship]{ + ESSETLEXER,SCLEX_FLAGSHIP + 0ESSETKEYWORDS + ? @ accept access all alternate announce ansi any append as assign autolock + average begin bell bitmap blank box call cancel case century charset checkbox clear + close cls color combobox commit confirm console constant continue copy count + create cursor date dbread dbwrite decimals declare default delete deleted + delimiters device dir directory display do draw edit else elseif eject end endcase + enddo endif endtext epoch erase error escape eval eventmask exact exclusive + extended external extra field file filter find fixed font for form format from get + gets global global_extern go goto gotop guialign guicolor guicursor guitransl + html htmltext if image index input intensity join key keyboard keytransl label + lines list listbox local locate margin memory memvar menu message method multibyte + multilocks next nfs nfslock nfs_force note on openerror order outmode pack parameters + path pixel pop printer private prompt public push pushbutton quit radiobutton + radiogroup read recall refresh reindex relation release rename replace report request + restore richtext rowadapt rowalign run save say scoreboard scrcompress screen seek + select sequence set setenhanced setstandard setunselected skip softseek sort source + static store struct structure sum tag tbrowse text to total type typeahead unique + unlock update use wait while with wrap xml zap zerobyteout + 1ESSETKEYWORDS + _displarr _displarrerr _displarrstd _displobj _displobjerr _displobjstd aadd + abs achoice aclone acopy adel adir aelemtype aeval afields afill ains alert + alias alltrim altd ansi2oem appiomode appmdimode appobject array asc ascan asize + asort at atail atanychar autoxlock between bin2i bin2l bin2w binand binlshift + binor binrshift binxor bof break browse cdow chr chr2screen cmonth col col2pixel + color2rgb colorselect colvisible consoleopen consolesize crc32 ctod curdir date + datevalid day dbappend dbclearfilter dbclearindex dbclearrelation dbcloseall + dbclosearea dbcommit dbcommitall dbcreate dbcreateindex dbdelete dbedit dbeval dbf + dbfilter dbfinfo dbflock dbfused dbgetlocate dbgobottom dbgoto dbgotop dbobject + dbrecall dbreindex dbrelation dbrlock dbrlocklist dbrselect dbrunlock dbseek + dbselectarea dbsetdriver dbsetfilter dbsetindex dbsetlocate dbsetorder dbsetrelation + dbskip dbstruct dbunlock dbunlockall dbusearea default deleted descend devout + devoutpict devpos directory diskspace dispbegin dispbox dispcount dispend dispout + doserror doserror2str dow drawline dtoc dtos empty eof errorblock errorlevel eval + execname execpidnum exp fattrib fclose fcount fcreate ferase ferror ferror2str + fieldblock fielddeci fieldget fieldgetarr fieldlen fieldname fieldpos fieldput + fieldputarr fieldtype fieldwblock file findexefile fklabel fkmax flagship_dir flock + flockf fopen found fread freadstdin freadstr freadtxt frename fs_set fseek fwrite + getactive getalign getapplykey getdosetkey getenv getenvarr getfunction getpostvalid + getprevalid getreader guidrawline hardcr header hex2num i2bin iif indexcheck indexcount + indexdbf indexext indexkey indexnames indexord infobox inkey inkey2read inkey2str + inkeytrap instdchar instdstring int int2num isalpha isbegseq iscolor isdbexcl + isdbflock isdbmultip isdbmultiple isdbmultipleopen isdbrlock isdigit isfunction + isguimode islower isobjclass isobjequiv isobjproperty isprinter isupper l2bin lastkey + lastrec left len listbox lock log lower ltrim lupdate macroeval macrosubst max + max_col max_row maxcol maxrow mcol mdblck mdiclose mdiopen mdiselect memocode + memodecode memoedit memoencode memoline memoread memory memotran memowrit memvarblock + mhide min minmax mlcount mlctopos mleftdown mlpos mod month mpostolc mpresent + mreststate mrightdown mrow msavestate msetcursor msetpos mshow mstate neterr netname + nextkey num2hex num2int objclone oem2ansi onkey ordbagext ordbagname ordcond + ordcondset ordcreate orddescend orddestroy ordfor ordisinique ordkey ordkeyadd + ordkeycount ordkeydel ordkeygoto ordkeyno ordkeyval ordlistadd ordlistclear ordlistrebui + ordname ordnumber ordscope ordsetfocu ordsetrelat ordskipunique os outerr outstd + padc padl padr param parameters pcalls pcol pcount pixel2col pixel2row + printstatus procfile procline procname procstack proper prow qout qout2 qqout qqout2 rat + rddlist rddname rddsetdefault readexit readinsert readkey readkill readmodal + readsave readupdated readvar reccount recno recsize replicate restscreen right rlock + rlockverify round row row2pixel rowadapt rowvisible rtrim savescreen scrdos2unix + screen2chr scroll scrunix2dos seconds secondscpu select serial set setansi setblink + setcancel setcol2get setcolor setcolorba setcursor setevent setguicursor setkey + setmode setpos setprc setvarempty sleep sleepms soundex space sqrt statbarmsg + statusmessage stod str strlen strlen2col strlen2pix strlen2space strpeek strpoke strtran + strzero stuff substr tbcolumnnew tbmouse tbrowsearr tbrowsedb tbrowsenew + tempfilename time tone transform trim truepath type updated upper used usersactive + usersdbf usersmax val valtype version webdate weberrorhandler webgetenvir + webgetformdata webhtmlbegin webhtmlend weblogerr webmaildomain weboutdata websendmail word + year + 2ESSETKEYWORDS + function procedure return exit + 3ESSETKEYWORDS + class instance export hidden protect prototype + 4ESSETKEYWORDS + a addindex addtogroup anchor arg attention author b brief bug c class code + date def defgroup deprecated dontinclude e em endcode endhtmlonly endif + endlatexonly endlink endverbatim enum example exception f$ f[ f] file fn hideinitializer + htmlinclude htmlonly if image include ingroup internal invariant interface latexonly li + line link mainpage name namespace nosubgrouping note overload p page par param + param[in] param[out] post pre ref relates remarks return retval sa section see + showinitializer since skip skipline struct subsection test throw throws todo typedef union + until var verbatim verbinclude version warning weakgroup $ @ \ & < > # { } + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.comment],4M[color.set] + :M[color.keyword],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.keyword],9M[color.set] + :M[color.keyword],10M[color.set] + :M[color.number],11M[color.set] + :M[color.string],12M[color.set] + :M[color.preproc],13M[color.set] + :M[color.operator],14M[color.set] + :M[color.number],16M[color.set] + :M[color.number],18M[color.set] + :M[color.operator],19M[color.set] + :M[color.comment],22M[color.set] + :M[color.comment],23M[color.set] + :M[color.keyword],24M[color.set] + :M[color.keyword],25M[color.set] + :M[color.number],26M[color.set] + :M[color.string],27M[color.set] + :M[color.preproc],28M[color.set] + :M[color.operator],29M[color.set] +} diff --git a/lib/lexers/flash.tes b/lib/lexers/flash.tes new file mode 100644 index 0000000..b039219 --- /dev/null +++ b/lib/lexers/flash.tes @@ -0,0 +1,41 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.flash]{ [_ + _.[as,asc,jsfl]M[lexer.checkname] +]_ } + +@[lexer.set.flash]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + add and break case catch class continue default delete do dynamic else eq + extends false finally for function ge get gt if implements import in instanceof + interface intrinsic le lt ne new not null or private public return set static super + switch this throw true try typeof undefined var void while with + 1ESSETKEYWORDS + Array Arguments Accessibility Boolean Button Camera Color ContextMenu + ContextMenuItem Date Error Function Key LoadVars LocalConnection Math Microphone Mouse + MovieClip MovieClipLoader NetConnection NetStream Number Object PrintJob Selection + SharedObject Sound Stage String StyleSheet System TextField TextFormat TextSnapshot Video + Void XML XMLNode XMLSocket _accProps _focusrect _global _highquality _parent + _quality _root _soundbuftime arguments asfunction call capabilities chr clearInterval + duplicateMovieClip escape eval fscommand getProperty getTimer getURL getVersion gotoAndPlay + gotoAndStop ifFrameLoaded Infinity -Infinity int isFinite isNaN length loadMovie + loadMovieNum loadVariables loadVariablesNum maxscroll mbchr mblength mbord mbsubstring + MMExecute NaN newline nextFrame nextScene on onClipEvent onUpdate ord parseFloat + parseInt play prevFrame prevScene print printAsBitmap printAsBitmapNum printNum + random removeMovieClip scroll set setInterval setProperty startDrag stop + stopAllSounds stopDrag substring targetPath tellTarget toggleHighQuality trace unescape + unloadMovie unLoadMovieNum updateAfterEvent + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/freebasic.tes b/lib/lexers/freebasic.tes new file mode 100644 index 0000000..12e0263 --- /dev/null +++ b/lib/lexers/freebasic.tes @@ -0,0 +1,48 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.freebasic]{ [_ + _.[bas,bi]M[lexer.checkname] +]_ } + +@[lexer.set.freebasic]{ + ESSETLEXER,SCLEX_FREEBASIC + 0ESSETKEYWORDS + append as asc asin asm atan2 atn beep bin binary bit bitreset bitset bload + bsave byref byte byval call callocate case cbyte cdbl cdecl chain chdir chr cint + circle clear clng clngint close cls color command common cons const continue cos + cshort csign csng csrlin cubyte cuint culngint cunsg curdir cushort custom cvd cvi + cvl cvlongint cvs cvshort data date deallocate declare defbyte defdbl defined + defint deflng deflngint defshort defsng defstr defubyte defuint defulngint + defushort dim dir do double draw dylibload dylibsymbol else elseif end enum environ + environ$ eof eqv erase err error exec exepath exit exp export extern field fix flip + for fre freefile function get getjoystick getkey getmouse gosub goto hex hibyte + hiword if iif imagecreate imagedestroy imp inkey inp input instr int integer is + kill lbound lcase left len let lib line lobyte loc local locate lock lof log long + longint loop loword lset ltrim mid mkd mkdir mki mkl mklongint mks mkshort mod + multikey mutexcreate mutexdestroy mutexlock mutexunlock name next not oct on once + open option or out output overload paint palette pascal pcopy peek peeki peeks + pipe pmap point pointer poke pokei pokes pos preserve preset print private + procptr pset ptr public put random randomize read reallocate redim rem reset restore + resume resume next return rgb rgba right rmdir rnd rset rtrim run sadd screen + screencopy screeninfo screenlock screenptr screenres screenset screensync screenunlock + seek statement seek function selectcase setdate setenviron setmouse settime sgn + shared shell shl short shr sin single sizeof sleep space spc sqr static stdcall + step stop str string string strptr sub swap system tab tan then threadcreate + threadwait time time timer to trans trim type ubound ubyte ucase uinteger ulongint + union unlock unsigned until ushort using va_arg va_first va_next val val64 valint + varptr view viewprint wait wend while width window windowtitle with write xor + zstring + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],4M[color.set] + :M[color.preproc],5M[color.set] + :M[color.operator],6M[color.set] + :M[color.keyword],10M[color.set] + :M[color.keyword],11M[color.set] + :M[color.keyword],12M[color.set] + :M[color.preproc],15M[color.set] + :M[color.error],16M[color.set] + :M[color.number],17M[color.set] + :M[color.number],18M[color.set] +} diff --git a/lib/lexers/gap.tes b/lib/lexers/gap.tes new file mode 100644 index 0000000..affa2ea --- /dev/null +++ b/lib/lexers/gap.tes @@ -0,0 +1,23 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.gap]{ [_ + _.[g,gd,gi]M[lexer.checkname] +]_ } + +@[lexer.set.gap]{ + ESSETLEXER,SCLEX_GAP + 0ESSETKEYWORDS + and break continue do elif else end fi for function if in local mod not od + or quit rec repeat return then until while QUIT + 1ESSETKEYWORDS + false true IsBound Unbind TryNextMethod Info Assert SaveWorkspace fail + :M[color.keyword],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.keyword],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.operator],8M[color.set] + :M[color.comment],9M[color.set] + :M[color.number],10M[color.set] +} diff --git a/lib/lexers/go.tes b/lib/lexers/go.tes new file mode 100644 index 0000000..8ae0826 --- /dev/null +++ b/lib/lexers/go.tes @@ -0,0 +1,26 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.go]{ [_ + _.goM[lexer.checkname] +]_ } + +@[lexer.set.go]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + bool byte break case chan complex64 complex128 const continue defer default + else for func go goto fallthrough false float32 float64 if import int interface + int8 int16 int32 int64 len map nil package range return select string struct + switch true type uint uintptr uint8 uint16 uint32 uint64 var + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/html.tes b/lib/lexers/html.tes new file mode 100644 index 0000000..e3bde6a --- /dev/null +++ b/lib/lexers/html.tes @@ -0,0 +1,84 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.html]{ [_ + _.[html,htm,asp,shtml,htd,jsp,xhtml,php3,phtml,php,htt,cfm,tpl,dtd,hta]M[lexer.checkname] +]_ } + +@[lexer.set.html]{ + ESSETLEXER,SCLEX_HYPERTEXT + 0ESSETKEYWORDS + a abbr acronym address applet area b base basefont bdo big blockquote body + br button caption center cite code col colgroup dd del dfn dir div dl dt em + fieldset font form frame frameset h1 h2 h3 h4 h5 h6 head hr html i iframe img input + ins isindex kbd label legend li link map menu meta noframes noscript object ol + optgroup option p param pre q s samp script select small span strike strong style sub + sup table tbody td textarea tfoot th thead title tr tt u ul var xml xmlns abbr + accept-charset accept accesskey action align alink alt archive axis background bgcolor + border cellpadding cellspacing char charoff charset checked cite class classid + clear codebase codetype color cols colspan compact content coords data datafld + dataformatas datapagesize datasrc datetime declare defer dir disabled enctype event face + for frame frameborder headers height href hreflang hspace http-equiv id ismap + label lang language leftmargin link longdesc marginwidth marginheight maxlength + media method multiple name nohref noresize noshade nowrap object onblur onchange + onclick ondblclick onfocus onkeydown onkeypress onkeyup onload onmousedown + onmousemove onmouseover onmouseout onmouseup onreset onselect onsubmit onunload profile + prompt readonly rel rev rows rowspan rules scheme scope selected shape size span + src standby start style summary tabindex target text title topmargin type usemap + valign value valuetype version vlink vspace width text password checkbox radio + submit reset file hidden image ^data- address article aside audio base canvas + command details datalist embed figure figcaption footer header hgroup keygen mark + menu meter nav output progress ruby rt rp section source time video wbr async + autocomplete autofocus contenteditable contextmenu draggable form formaction formenctype + formmethod formnovalidate formtarget list manifest max min novalidate pattern + placeholder required reversed role sandbox scoped seamless sizes spellcheck srcdoc step + public !doctype + 1ESSETKEYWORDS + abstract boolean break byte case catch char class const continue debugger + default delete do double else enum export extends final finally float for function + goto if implements import in instanceof int interface long native new package + private protected public return short static super switch synchronized this throw + throws transient try typeof var void volatile while with + 2ESSETKEYWORDS + addressof alias and as attribute base begin binary boolean byref byte byval + call case cdbl cint clng compare const csng cstr currency date decimal declare + defbool defbyte defcur defdate defdbl defdec defint deflng defobj defsng defstr + defvar dim do double each else elseif empty end enum eqv erase error event exit + explicit false for friend function get global gosub goto if imp implements in input + integer is len let lib like load lock long loop lset me mid midb mod new next not + nothing null object on option optional or paramarray preserve print private property + public raiseevent randomize redim rem resume return rset seek select set single + static step stop string sub text then time to true type typeof unload until variant + wend while with withevents xor + 3ESSETKEYWORDS + and as assert break class continue def del elif else except exec finally for + from global if import in is lambda not or pass print raise return try while with + yield + 4ESSETKEYWORDS + and array as bool boolean break case cfunction class const continue declare + default die directory do double echo else elseif empty enddeclare endfor endforeach + endif endswitch endwhile eval exit extends false float for foreach function global + goto if include include_once int integer isset list namespace new null object + old_function or parent print real require require_once resource return static stdclass + string switch true unset use var while xor abstract catch clone exception final + implements interface php_user_filter private protected public this throw try __class__ + __dir__ __file__ __function__ __line__ __method__ __namespace__ __sleep __wakeup + 5ESSETKEYWORDS + ELEMENT DOCTYPE ATTLIST ENTITY NOTATION + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.comment],42M[color.set] + :M[color.comment],43M[color.set] + :M[color.comment],44M[color.set] + :M[color.number],45M[color.set] + :M[color.keyword],47M[color.set] + :M[color.string],48M[color.set] + :M[color.string],49M[color.set] + :M[color.operator],50M[color.set] + :M[color.comment],72M[color.set] + :M[color.comment],82M[color.set] + :M[color.comment],92M[color.set] + :M[color.comment],107M[color.set] + :M[color.comment],124M[color.set] + :M[color.comment],125M[color.set] +} diff --git a/lib/lexers/idl.tes b/lib/lexers/idl.tes new file mode 100644 index 0000000..9a469b5 --- /dev/null +++ b/lib/lexers/idl.tes @@ -0,0 +1,41 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.idl]{ [_ + _.[idl,odl]M[lexer.checkname] +]_ } + +@[lexer.set.idl]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + aggregatable allocate appobject arrays async async_uuid auto_handle bindable + boolean broadcast byte byte_count call_as callback char coclass code comm_status + const context_handle context_handle_noserialize context_handle_serialize control + cpp_quote custom decode default defaultbind defaultcollelem defaultvalue defaultvtable + dispinterface displaybind dllname double dual enable_allocate encode endpoint entry enum + error_status_t explicit_handle fault_status first_is float handle_t heap helpcontext + helpfile helpstring helpstringcontext helpstringdll hidden hyper id idempotent ignore + iid_as iid_is immediatebind implicit_handle import importlib in include in_line int + __int64 __int3264 interface last_is lcid length_is library licensed local long + max_is maybe message methods midl_pragma midl_user_allocate midl_user_free min_is + module ms_union ncacn_at_dsp ncacn_dnet_nsp ncacn_http ncacn_ip_tcp ncacn_nb_ipx + ncacn_nb_nb ncacn_nb_tcp ncacn_np ncacn_spx ncacn_vns_spp ncadg_ip_udp ncadg_ipx + ncadg_mq ncalrpc nocode nonbrowsable noncreatable nonextensible notify object odl + oleautomation optimize optional out out_of_line pipe pointer_default pragma properties + propget propput propputref ptr public range readonly ref represent_as requestedit + restricted retval shape short signed size_is small source strict_context_handle string + struct switch switch_is switch_type transmit_as typedef uidefault union unique + unsigned user_marshal usesgetlasterror uuid v1_enum vararg version void wchar_t + wire_marshal attribute native noscript scriptable shared wstring inout + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/inno.tes b/lib/lexers/inno.tes new file mode 100644 index 0000000..313e78c --- /dev/null +++ b/lib/lexers/inno.tes @@ -0,0 +1,63 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.inno]{ [_ + _.[iss,isl]M[lexer.checkname] +]_ } + +@[lexer.set.inno]{ + ESSETLEXER,SCLEX_INNO + 0ESSETKEYWORDS + code components custommessages dirs files icons ini installdelete + langoptions languages messages registry run setup types tasks uninstalldelete + uninstallrun _istool + 1ESSETKEYWORDS + allowcancelduringinstall allownoicons allowrootdirectory allowuncpath + alwaysrestart alwaysshowcomponentslist alwaysshowdironreadypage alwaysshowgrouponreadypage + alwaysusepersonalgroup appcomments appcontact appcopyright appid appmodifypath appmutex appname + apppublisher apppublisherurl appreadmefile appsupportphone appsupporturl appupdatesurl + appvername appversion appenddefaultdirname appenddefaultgroupname architecturesallowed + architecturesinstallin64bitmode backcolor backcolor2 backcolordirection backsolid changesassociations + changesenvironment compression compressionthreads copyrightfontname copyrightfontsize + createappdir createuninstallregkey defaultdirname defaultgroupname defaultuserinfoname + defaultuserinfoorg defaultuserinfoserial dialogfontname dialogfontsize dialogfontstandardheight + direxistswarning disabledirpage disablefinishedpage disableprogramgrouppage disablereadymemo + disablereadypage disablestartupprompt diskclustersize diskslicesize diskspanning + dontmergeduplicatefiles enabledirdoesntexistwarning encryption extradiskspacerequired + flatcomponentslist infoafterfile infobeforefile internalcompresslevel languagecodepage + languagedetectionmethod languageid languagename licensefile mergeduplicatefiles messagesfile + minversion onlybelowversion outputbasefilename outputdir outputmanifestfile password + privilegesrequired reservebytes restartifneededbyrun righttoleft setupiconfile setuplogging + showcomponentsizes showlanguagedialog showtaskstreelines showundisplayablelanguages signtool + signeduninstaller signeduninstallerdir slicesperdisk solidcompression sourcedir + terminalservicesaware timestamprounding timestampsinutc titlefontname titlefontsize touchdate + touchtime uninstalldisplayicon uninstalldisplayname uninstallfilesdir + uninstalliconfile uninstalllogmode uninstallrestartcomputer uninstallstyle uninstallable + updateuninstalllogappname usepreviousappdir usepreviousgroup useprevioussetuptype useprevioustasks + useprevioususerinfo usesetupldr userinfopage versioninfocompany versioninfocopyright + versioninfodescription versioninfoproductname versioninfoproductversion versioninfotextversion + versioninfoversion welcomefontname welcomefontsize windowresizable windowshowcaption + windowstartmaximized windowvisible wizardimagebackcolor wizardimagefile wizardimagestretch + wizardsmallimagebackcolor wizardsmallimagefile wizardstyle + 2ESSETKEYWORDS + afterinstall attribs beforeinstall check comment components copymode + description destdir destname excludes externalsize extradiskspacerequired filename flags + fontinstall groupdescription hotkey iconfilename iconindex key languages minversion name + onlybelowversion parameters permissions root runonceid section source statusmsg string subkey + tasks type types valuedata valuename valuetype verb workingdir + 3ESSETKEYWORDS + append define dim elif else emit endif endsub error expr file for if ifdef + ifexist ifndef ifnexist include insert pragma sub undef + 4ESSETKEYWORDS + begin break case const continue do downto else end except finally for + function if of procedure repeat then to try until uses var while with + :M[color.comment],1M[color.set] + :M[color.keyword],2M[color.set] + :M[color.string],3M[color.set] + :M[color.preproc],5M[color.set] + :M[color.preproc],6M[color.set] + :M[color.comment],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.keyword],9M[color.set] + :M[color.string],10M[color.set] + :M[color.string2],11M[color.set] +} diff --git a/lib/lexers/java.tes b/lib/lexers/java.tes new file mode 100644 index 0000000..188c5b6 --- /dev/null +++ b/lib/lexers/java.tes @@ -0,0 +1,36 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.java]{ [_ + _.[java,jad,pde]M[lexer.checkname] +]_ } + +@[lexer.set.java]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + abstract assert boolean break byte case catch char class const continue + default do double else enum extends final finally float for goto if implements + import instanceof int interface long native new package private protected public + return short static strictfp super switch synchronized this throw throws transient + try var void volatile while + 2ESSETKEYWORDS + a addindex addtogroup anchor arg attention author b brief bug c class code + date def defgroup deprecated dontinclude e em endcode endhtmlonly endif + endlatexonly endlink endverbatim enum example exception f$ f[ f] file fn hideinitializer + htmlinclude htmlonly if image include ingroup internal invariant interface latexonly li + line link mainpage name namespace nosubgrouping note overload p page par param + param[in] param[out] post pre ref relates remarks return retval sa section see + showinitializer since skip skipline struct subsection test throw throws todo typedef union + until var verbatim verbinclude version warning weakgroup $ @ \ & < > # { } + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/js.tes b/lib/lexers/js.tes new file mode 100644 index 0000000..50953af --- /dev/null +++ b/lib/lexers/js.tes @@ -0,0 +1,27 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.js]{ [_ + _.[js,es,json]M[lexer.checkname] +]_ } + +@[lexer.set.js]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + abstract boolean break byte case catch char class const continue debugger + default delete do double else enum export extends final finally float for function + goto if implements import in instanceof int interface long native new package + private protected public return short static super switch synchronized this throw + throws transient try typeof var void volatile while with + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/kix.tes b/lib/lexers/kix.tes new file mode 100644 index 0000000..42f39c6 --- /dev/null +++ b/lib/lexers/kix.tes @@ -0,0 +1,42 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.kix]{ [_ + _.kixM[lexer.checkname] +]_ } + +@[lexer.set.kix]{ + ESSETLEXER,SCLEX_KIX + 0ESSETKEYWORDS + ? and beep big break call cd cls color cookie1 copy debug del dim display do + until exit flushkb for each next function endfunction get gets global go gosub + goto if else endif md or password play quit rd redim return run select case + endselect set setl setm settime shell sleep small use while loop + 1ESSETKEYWORDS + abs addkey addprinterconnection addprogramgroup addprogramitem asc ascan at + backupeventlog box cdbl chr cint cleareventlog close comparefiletimes createobject cstr + dectohex delkey delprinterconnection delprogramgroup delprogramitem deltree delvalue + dir enumgroup enumipinfo enumkey enumlocalgroup enumvalue execute exist existkey + expandenvironmentvars fix formatnumber freefilehandle getdiskspace getfileattr getfilesize + getfiletime getfileversion getobject iif ingroup instr instrrev int isdeclared join + kbhit keyexist lcase left len loadhive loadkey logevent logoff ltrim memorysize + messagebox open readline readprofilestring readtype readvalue redirectoutput right rnd + round rtrim savekey sendkeys sendmessage setascii setconsole setdefaultprinter + setfileattr setfocus setoption setsystemstate settitle setwallpaper showprogramgroup + shutdown sidtoname split srnd substr trim ubound ucase unloadhive val vartype + vartypename writeline writeprofilestring writevalue + 2ESSETKEYWORDS + address build color comment cpu crlf csd curdir date day domain dos error + fullname homedir homedrive homeshr hostname inwin ipaddress0 ipaddress1 ipaddress2 + ipaddress3 kix lanroot ldomain ldrive lm logonmode longhomedir lserver maxpwage mdayno + mhz monthno month msecs pid primarygroup priv productsuite producttype pwage ras + result rserver scriptdir scriptexe scriptname serror sid site startdir syslang + ticks time userid userlang wdayno wksta wuserid ydayno year + :M[color.comment],1M[color.set] + :M[color.string],2M[color.set] + :M[color.string],3M[color.set] + :M[color.number],4M[color.set] + :M[color.preproc],6M[color.set] + :M[color.keyword],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.operator],9M[color.set] +} diff --git a/lib/lexers/lisp.tes b/lib/lexers/lisp.tes new file mode 100644 index 0000000..ef66f18 --- /dev/null +++ b/lib/lexers/lisp.tes @@ -0,0 +1,28 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.lisp]{ [_ + _.[lsp,lisp]M[lexer.checkname] +]_ } + +@[lexer.set.lisp]{ + ESSETLEXER,SCLEX_LISP + 0ESSETKEYWORDS + not defun + - * / = < > <= >= princ eval apply funcall quote identity + function complement backquote lambda set setq setf defun defmacro gensym make symbol + intern symbol name symbol value symbol plist get getf putprop remprop hash make + array aref car cdr caar cadr cdar cddr caaar caadr cadar caddr cdaar cdadr cddar + cdddr caaaar caaadr caadar caaddr cadaar cadadr caddar cadddr cdaaar cdaadr cdadar + cdaddr cddaar cddadr cdddar cddddr cons list append reverse last nth nthcdr member + assoc subst sublis nsubst nsublis remove length list length mapc mapcar mapl + maplist mapcan mapcon rplaca rplacd nconc delete atom symbolp numberp boundp null + listp consp minusp zerop plusp evenp oddp eq eql equal cond case and or let l if + prog prog1 prog2 progn go return do dolist dotimes catch throw error cerror break + continue errset baktrace evalhook truncate float rem min max abs sin cos tan expt exp + sqrt random logand logior logxor lognot bignums logeqv lognand lognor logorc2 + logtest logbitp logcount integer length nil + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],6M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/lout.tes b/lib/lexers/lout.tes new file mode 100644 index 0000000..431e5ef --- /dev/null +++ b/lib/lexers/lout.tes @@ -0,0 +1,40 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.lout]{ [_ + _.ltM[lexer.checkname] +]_ } + +@[lexer.set.lout]{ + ESSETLEXER,SCLEX_LOUT + 0ESSETKEYWORDS + @OptGall @FontDef @Family @Face @Name @Metrics @ExtraMetrics @Mapping + @Recode @Filter @FilterIn @FilterOut @FilterErr @Common @Rump @Meld @Insert @OneOf + @Next @Plus @Minus @Wide @High @HShift @VShift @BeginHeaderComponent + @EndHeaderComponent @SetHeaderComponent @ClearHeaderComponent @OneCol @OneRow @HMirror @VMirror + @HScale @VScale @HCover @VCover @Scale @KernShrink @HContract @VContract @HLimited + @VLimited @HExpand @VExpand @StartHVSpan @StartHSpan @StartVSpan @HSpan @VSpan + @PAdjust @HAdjust @VAdjust @Rotate @Background @IncludeGraphic @SysIncludeGraphic + @Graphic @LinkSource @LinkDest @URLLink @PlainGraphic @Verbatim @RawVerbatim @Case + @Yield @BackEnd @Char @Font @Space @YUnit @ZUnit @Break @Underline @SetColour + @SetColor @SetUnderlineColour @SetUnderlineColor @SetTexture @Outline @Language + @CurrLang @CurrFamily @CurrFace @CurrYUnit @CurrZUnit @LEnv @@A @@B @@C @@D @@E @LClos + @@V @LUse @LEO @Open @Use @NotRevealed @Tagged @Database @SysDatabase @Include + @SysInclude @IncludeGraphicRepeated @SysIncludeGraphicRepeated @PrependGraphic + @SysPrependGraphic @Target @Null @PageLabel @Galley @ForceGalley @LInput @Split @Tag @Key + @Optimize @Merge @Enclose @Begin @End @Moment @Second @Minute @Hour @Day @Month @Year + @Century @WeekDay @YearDay @DaylightSaving @SetContext @GetContext + ! NOTE: carets are doubled to escape them ! + 1ESSETKEYWORDS + &&& && & ^^// ^^/ ^^|| ^^| ^^& // / || | + 2ESSETKEYWORDS + def langdef force horizontally into extend import export precedence + associativity left right body macro named compulsory following preceding foll_or_prec now + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.keyword],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.keyword],6M[color.set] + :M[color.string],7M[color.set] + :M[color.operator],8M[color.set] +} diff --git a/lib/lexers/lua.tes b/lib/lexers/lua.tes new file mode 100644 index 0000000..4e24315 --- /dev/null +++ b/lib/lexers/lua.tes @@ -0,0 +1,50 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.lua]{ [_ + _#!M[lua,lua5.1,lua5.2]M[lexer.checkheader]"S + -1 + | + _.luaM[lexer.checkname] + ' +]_ } + +@[lexer.set.lua]{ + ESSETLEXER,SCLEX_LUA + 0ESSETKEYWORDS + and break do else elseif end for function if in local nil not or repeat + return then until while false true goto + 1ESSETKEYWORDS + assert collectgarbage dofile error _G getmetatable ipairs loadfile next + pairs pcall print rawequal rawget rawset setmetatable tonumber tostring type + _VERSION xpcall string table math coroutine io os debug getfenv gcinfo load loadlib + loadstring require select setfenv unpack _LOADED LUA_PATH _REQUIREDNAME package rawlen + package bit32 _ENV + 2ESSETKEYWORDS + string.byte string.char string.dump string.find string.format string.gsub + string.len string.lower string.rep string.sub string.upper table.concat table.insert + table.remove table.sort math.abs math.acos math.asin math.atan math.atan2 math.ceil + math.cos math.deg math.exp math.floor math.frexp math.ldexp math.log math.max + math.min math.pi math.pow math.rad math.random math.randomseed math.sin math.sqrt + math.tan string.gfind string.gmatch string.match string.reverse table.foreach + table.foreachi table.getn table.setn table.maxn table.pack table.unpack math.cosh math.fmod + math.huge math.log10 math.modf math.mod math.sinh math.tanh bit32.arshift bit32.band + bit32.bnot bit32.bor bit32.btest bit32.bxor bit32.extract bit32.replace bit32.lrotate + bit32.lshift bit32.rrotate bit32.rshift + 3ESSETKEYWORDS + coroutine.create coroutine.resume coroutine.status coroutine.wrap + coroutine.yield io.close io.flush io.input io.lines io.open io.output io.read io.tmpfile + io.type io.write io.stdin io.stdout io.stderr os.clock os.date os.difftime + os.execute os.exit os.getenv os.remove os.rename os.setlocale os.time os.tmpname + coroutine.running io.popen module package.loaders package.seeall package.config + package.searchers package.searchpath require package.cpath package.loaded package.loadlib + package.path package.preload + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.string],8M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/mako.tes b/lib/lexers/mako.tes new file mode 100644 index 0000000..30accbe --- /dev/null +++ b/lib/lexers/mako.tes @@ -0,0 +1,85 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.mako]{ [_ + _.[mak,mako]M[lexer.checkname] +]_ } + +@[lexer.set.mako]{ + ESSETLEXER,SCLEX_HYPERTEXT + 0ESSETKEYWORDS + a abbr acronym address applet area b base basefont bdo big blockquote body + br button caption center cite code col colgroup dd del dfn dir div dl dt em + fieldset font form frame frameset h1 h2 h3 h4 h5 h6 head hr html i iframe img input + ins isindex kbd label legend li link map menu meta noframes noscript object ol + optgroup option p param pre q s samp script select small span strike strong style sub + sup table tbody td textarea tfoot th thead title tr tt u ul var xml xmlns abbr + accept-charset accept accesskey action align alink alt archive axis background bgcolor + border cellpadding cellspacing char charoff charset checked cite class classid + clear codebase codetype color cols colspan compact content coords data datafld + dataformatas datapagesize datasrc datetime declare defer dir disabled enctype event face + for frame frameborder headers height href hreflang hspace http-equiv id ismap + label lang language leftmargin link longdesc marginwidth marginheight maxlength + media method multiple name nohref noresize noshade nowrap object onblur onchange + onclick ondblclick onfocus onkeydown onkeypress onkeyup onload onmousedown + onmousemove onmouseover onmouseout onmouseup onreset onselect onsubmit onunload profile + prompt readonly rel rev rows rowspan rules scheme scope selected shape size span + src standby start style summary tabindex target text title topmargin type usemap + valign value valuetype version vlink vspace width text password checkbox radio + submit reset file hidden image ^data- address article aside audio base canvas + command details datalist embed figure figcaption footer header hgroup keygen mark + menu meter nav output progress ruby rt rp section source time video wbr async + autocomplete autofocus contenteditable contextmenu draggable form formaction formenctype + formmethod formnovalidate formtarget list manifest max min novalidate pattern + placeholder required reversed role sandbox scoped seamless sizes spellcheck srcdoc step + public !doctype + 1ESSETKEYWORDS + abstract boolean break byte case catch char class const continue debugger + default delete do double else enum export extends final finally float for function + goto if implements import in instanceof int interface long native new package + private protected public return short static super switch synchronized this throw + throws transient try typeof var void volatile while with + 2ESSETKEYWORDS + addressof alias and as attribute base begin binary boolean byref byte byval + call case cdbl cint clng compare const csng cstr currency date decimal declare + defbool defbyte defcur defdate defdbl defdec defint deflng defobj defsng defstr + defvar dim do double each else elseif empty end enum eqv erase error event exit + explicit false for friend function get global gosub goto if imp implements in input + integer is len let lib like load lock long loop lset me mid midb mod new next not + nothing null object on option optional or paramarray preserve print private property + public raiseevent randomize redim rem resume return rset seek select set single + static step stop string sub text then time to true type typeof unload until variant + wend while with withevents xor + 3ESSETKEYWORDS + and as assert break class continue def del elif else except exec finally for + from global if import in is lambda not or pass print raise return try while with + yield include namespace inherit call doc text page endclass endexcept endfinally + endfor endif endtry endwhile block + 4ESSETKEYWORDS + and array as bool boolean break case cfunction class const continue declare + default die directory do double echo else elseif empty enddeclare endfor endforeach + endif endswitch endwhile eval exit extends false float for foreach function global + goto if include include_once int integer isset list namespace new null object + old_function or parent print real require require_once resource return static stdclass + string switch true unset use var while xor abstract catch clone exception final + implements interface php_user_filter private protected public this throw try __class__ + __dir__ __file__ __function__ __line__ __method__ __namespace__ __sleep __wakeup + 5ESSETKEYWORDS + ELEMENT DOCTYPE ATTLIST ENTITY NOTATION + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.comment],42M[color.set] + :M[color.comment],43M[color.set] + :M[color.comment],44M[color.set] + :M[color.number],45M[color.set] + :M[color.keyword],47M[color.set] + :M[color.string],48M[color.set] + :M[color.string],49M[color.set] + :M[color.operator],50M[color.set] + :M[color.comment],72M[color.set] + :M[color.comment],82M[color.set] + :M[color.comment],92M[color.set] + :M[color.comment],107M[color.set] + :M[color.comment],124M[color.set] + :M[color.comment],125M[color.set] +} diff --git a/lib/lexers/matlab.tes b/lib/lexers/matlab.tes new file mode 100644 index 0000000..8aafcc3 --- /dev/null +++ b/lib/lexers/matlab.tes @@ -0,0 +1,19 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.matlab]{ [_ + _.m.matlabM[lexer.checkname] +]_ } + +@[lexer.set.matlab]{ + ESSETLEXER,SCLEX_MATLAB + 0ESSETKEYWORDS + break case catch continue else elseif end for function global if otherwise + persistent return switch try while + :M[color.comment],1M[color.set] + :M[color.preproc],2M[color.set] + :M[color.number],3M[color.set] + :M[color.keyword],4M[color.set] + :M[color.string],5M[color.set] + :M[color.operator],6M[color.set] + :M[color.string],8M[color.set] +} diff --git a/lib/lexers/mmixal.tes b/lib/lexers/mmixal.tes new file mode 100644 index 0000000..1de8986 --- /dev/null +++ b/lib/lexers/mmixal.tes @@ -0,0 +1,34 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.mmixal]{ [_ + _.mmsM[lexer.checkname] +]_ } + +@[lexer.set.mmixal]{ + ESSETLEXER,SCLEX_MMIXAL + 0ESSETKEYWORDS + 2ADDU 4ADDU 8ADDU 16ADDU ADD ADDU AND ANDNH ANDNL ANDNMH ANDNML BDIF BEV BN + BNN BNP BNZ BOD BP BSPEC BYTE BZ CMP CMPU CSEV CSN CSNN CSNP CSNZ CSOD CSP CSWAP + CSZ DIV DIVU ESPEC EXPR FADD FCMP FCMPE FDIV FEQL FEQLE FIX FIXU FLOT FLOTU FMUL + FREM FSQRT FSUB FUN FUNE GET GETA GO GREG I_BIT INCH INCL INCMH INCML IS JMP LDA + LDB LDBU LDHT LDO LDOU LDSF LDT LDTU LDUNC LDVTS LDW LDWU LOC LOCAL MOR MUL MULU + MUX MXOR NAND NEG NEGU NNIX NOR NXOR O_BIT OCTA ODIF OR ORH ORL ORMH ORML ORN + PBEV PBN PBNN PBNP PBNZ PBOD PBP PBZ POP PREFIX PREGO PRELD PREST PUSHGO PUSHJ + PUT RESUME SAVE SET SETH SETL SETMH SETML SFLOT SFLOTU SL SLU SR SRU STB STBU + STCO STHT STO STOU STSF STT STTU STUNC STW STWU SUB SUBU SWYM SYNC SYNCD TDIF + TETRA TRAP TRIP UNSAVE WDIF WYDEXOR ZSEV ZSN ZSNN ZSNP ZSNZ ZSOD ZSP ZSZ + 1ESSETKEYWORDS + rA rB rC rD rE rF rG rH rI rJ rK rL rM rN rO rP rQ rR rS rT rU rV rW rX rY + rZ rBB rTT rWW rXX rYY rZZ + 2ESSETKEYWORDS + @ Text_Segment Data_Segment Pool_Segment Stack_Segment StdErr StdIn StdOut + Fopen Fclose Fread Fwrite Fgets Fputs Fgetws Fputws Ftell Fseek TextRead TextWrite + BinaryRead BinaryWrite BinaryReadWrite + :M[color.comment],1M[color.set] + :M[color.keyword],5M[color.set] + :M[color.error],6M[color.set] + :M[color.number],9M[color.set] + :M[color.string2],11M[color.set] + :M[color.string],12M[color.set] + :M[color.comment],17M[color.set] +} diff --git a/lib/lexers/octave.tes b/lib/lexers/octave.tes new file mode 100644 index 0000000..9b0131f --- /dev/null +++ b/lib/lexers/octave.tes @@ -0,0 +1,22 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.octave]{ [_ + _.m.octaveM[lexer.checkname] +]_ } + +@[lexer.set.octave]{ + ESSETLEXER,SCLEX_OCTAVE + 0ESSETKEYWORDS + __FILE__ __LINE__ break case catch classdef continue do else elseif end + end_try_catch end_unwind_protect endclassdef endenumeration endevents endfor endif + endmethods endparfor endproperties endswitch endwhile enumeration events for function + endfunction get global if methods otherwise parfor persistent properties return set + static switch try until unwind_protect unwind_protect_cleanup while + :M[color.comment],1M[color.set] + :M[color.preproc],2M[color.set] + :M[color.number],3M[color.set] + :M[color.keyword],4M[color.set] + :M[color.string],5M[color.set] + :M[color.operator],6M[color.set] + :M[color.string],8M[color.set] +} diff --git a/lib/lexers/oscript.tes b/lib/lexers/oscript.tes new file mode 100644 index 0000000..e0839bd --- /dev/null +++ b/lib/lexers/oscript.tes @@ -0,0 +1,45 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.oscript]{ [_ + _.osxM[lexer.checkname] +]_ } + +@[lexer.set.oscript]{ + ESSETLEXER,SCLEX_OSCRIPT + 0ESSETKEYWORDS + break breakif by case continue continueif default downto else elseif end for + goto if in repeat switch to until while function return void dll inbyref inout + linked nodebug super this xcmd xfcn + 1ESSETKEYWORDS + false true undefined booleantype bytestype datatype dynamictype errortype + externtype integertype listtype longtype objecttype objreftype pointtype realtype + scripttype stringtype undefinedtype voidtype + 2ESSETKEYWORDS + and eq ge gt le lt ne not or xor + 3ESSETKEYWORDS + assoc boolean bytes date dynamic error extern file integer list long object + point real recarray record script string cachetree capiconnect capierr capilog + capilogin compiler dapisession dapinode dapiversion dapistream filecopy fileprefs + frame javaobject mailmessage pop3session smtpsession ssloptions patfind patchange + regex socket sqlconnection sqlcursor uapisession uapiuser wapisession wapimap + wapimaptask wapiwork wapisubwork domattr domcdatasection domcharacterdata domcomment + domdocument domdocumentfragment domdocumenttype domelement domentity domentityreference + domimplementation domnamednodemap domnode domnodelist domnotation domparser + domprocessinginstruction domtext saxparser xslprocessor + 4ESSETKEYWORDS + datatypename echo echodebug echoerror echoinfo echostamp echowarn + getfeatures isdefined iserror isfeature isinvokable isnoterror isnotset isobject isset + isundefined length nparameters parameters pointh pointv type + 5ESSETKEYWORDS + capi dapi env global language logging math memcached otds pattern security + sql str system uapi vis wapi web zip + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.preproc],4M[color.set] + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.keyword],11M[color.set] + :M[color.operator],12M[color.set] +} diff --git a/lib/lexers/pascal.tes b/lib/lexers/pascal.tes new file mode 100644 index 0000000..9373c73 --- /dev/null +++ b/lib/lexers/pascal.tes @@ -0,0 +1,32 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.pascal]{ [_ + _.[dpr,pas,dfm,inc,pp]M[lexer.checkname] +]_ } + +@[lexer.set.pascal]{ + ESSETLEXER,SCLEX_PASCAL + 0ESSETKEYWORDS + absolute abstract and array as asm assembler automated begin case cdecl + class const constructor delayed deprecated destructor dispid dispinterface div do + downto dynamic else end except experimental export exports external far file final + finalization finally for forward function goto helper if implementation in inherited + initialization inline interface is label library message mod near nil not object of on + operator or out overload override packed pascal platform private procedure program + property protected public published raise record reference register reintroduce + repeat resourcestring safecall sealed set shl shr static stdcall strict string then + threadvar to try type unit unsafe until uses var varargs virtual while winapi with xor + add default implements index name nodefault read readonly remove stored write + writeonly + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.comment],4M[color.set] + :M[color.preproc],5M[color.set] + :M[color.preproc],6M[color.set] + :M[color.number],7M[color.set] + :M[color.number],8M[color.set] + :M[color.keyword],9M[color.set] + :M[color.string],10M[color.set] + :M[color.string2],12M[color.set] + :M[color.operator],13M[color.set] +} diff --git a/lib/lexers/perl.tes b/lib/lexers/perl.tes new file mode 100644 index 0000000..ea11fbf --- /dev/null +++ b/lib/lexers/perl.tes @@ -0,0 +1,43 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.perl]{ [_ + _#!M[perl,pl]M[lexer.checkheader]"S + -1 + | + _.[pl,pm,pod]M[lexer.checkname] + ' +]_ } + +@[lexer.set.perl]{ + ESSETLEXER,SCLEX_PERL + 0ESSETKEYWORDS + NULL __FILE__ __LINE__ __PACKAGE__ __DATA__ __END__ AUTOLOAD BEGIN CORE + DESTROY END EQ GE GT INIT LE LT NE CHECK abs accept alarm and atan2 bind binmode + bless caller chdir chmod chomp chop chown chr chroot close closedir cmp connect + continue cos crypt dbmclose dbmopen defined delete die do dump each else elsif + endgrent endhostent endnetent endprotoent endpwent endservent eof eq eval exec exists + exit exp fcntl fileno flock for foreach fork format formline ge getc getgrent + getgrgid getgrnam gethostbyaddr gethostbyname gethostent getlogin getnetbyaddr + getnetbyname getnetent getpeername getpgrp getppid getpriority getprotobyname + getprotobynumber getprotoent getpwent getpwnam getpwuid getservbyname getservbyport + getservent getsockname getsockopt glob gmtime goto grep gt hex if index int ioctl join + keys kill last lc lcfirst le length link listen local localtime lock log lstat lt + map mkdir msgctl msgget msgrcv msgsnd my ne next no not oct open opendir or ord + our pack package pipe pop pos print printf prototype push quotemeta qu rand read + readdir readline readlink readpipe recv redo ref rename require reset return reverse + rewinddir rindex rmdir scalar seek seekdir select semctl semget semop send setgrent + sethostent setnetent setpgrp setpriority setprotoent setpwent setservent setsockopt + shift shmctl shmget shmread shmwrite shutdown sin sleep socket socketpair sort + splice split sprintf sqrt srand stat study sub substr symlink syscall sysopen + sysread sysseek system syswrite tell telldir tie tied time times truncate uc ucfirst + umask undef unless unlink unpack unshift untie until use utime values vec wait + waitpid wantarray warn while write xor given when default break say state UNITCHECK + __SUB__ fc + :M[color.error],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/php.tes b/lib/lexers/php.tes new file mode 100644 index 0000000..dde8119 --- /dev/null +++ b/lib/lexers/php.tes @@ -0,0 +1,84 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.php]{ [_ + _.[php3,phtml,php]M[lexer.checkname] +]_ } + +@[lexer.set.php]{ + ESSETLEXER,SCLEX_HYPERTEXT + 0ESSETKEYWORDS + a abbr acronym address applet area b base basefont bdo big blockquote body + br button caption center cite code col colgroup dd del dfn dir div dl dt em + fieldset font form frame frameset h1 h2 h3 h4 h5 h6 head hr html i iframe img input + ins isindex kbd label legend li link map menu meta noframes noscript object ol + optgroup option p param pre q s samp script select small span strike strong style sub + sup table tbody td textarea tfoot th thead title tr tt u ul var xml xmlns abbr + accept-charset accept accesskey action align alink alt archive axis background bgcolor + border cellpadding cellspacing char charoff charset checked cite class classid + clear codebase codetype color cols colspan compact content coords data datafld + dataformatas datapagesize datasrc datetime declare defer dir disabled enctype event face + for frame frameborder headers height href hreflang hspace http-equiv id ismap + label lang language leftmargin link longdesc marginwidth marginheight maxlength + media method multiple name nohref noresize noshade nowrap object onblur onchange + onclick ondblclick onfocus onkeydown onkeypress onkeyup onload onmousedown + onmousemove onmouseover onmouseout onmouseup onreset onselect onsubmit onunload profile + prompt readonly rel rev rows rowspan rules scheme scope selected shape size span + src standby start style summary tabindex target text title topmargin type usemap + valign value valuetype version vlink vspace width text password checkbox radio + submit reset file hidden image ^data- address article aside audio base canvas + command details datalist embed figure figcaption footer header hgroup keygen mark + menu meter nav output progress ruby rt rp section source time video wbr async + autocomplete autofocus contenteditable contextmenu draggable form formaction formenctype + formmethod formnovalidate formtarget list manifest max min novalidate pattern + placeholder required reversed role sandbox scoped seamless sizes spellcheck srcdoc step + public !doctype + 1ESSETKEYWORDS + abstract boolean break byte case catch char class const continue debugger + default delete do double else enum export extends final finally float for function + goto if implements import in instanceof int interface long native new package + private protected public return short static super switch synchronized this throw + throws transient try typeof var void volatile while with + 2ESSETKEYWORDS + addressof alias and as attribute base begin binary boolean byref byte byval + call case cdbl cint clng compare const csng cstr currency date decimal declare + defbool defbyte defcur defdate defdbl defdec defint deflng defobj defsng defstr + defvar dim do double each else elseif empty end enum eqv erase error event exit + explicit false for friend function get global gosub goto if imp implements in input + integer is len let lib like load lock long loop lset me mid midb mod new next not + nothing null object on option optional or paramarray preserve print private property + public raiseevent randomize redim rem resume return rset seek select set single + static step stop string sub text then time to true type typeof unload until variant + wend while with withevents xor + 3ESSETKEYWORDS + and as assert break class continue def del elif else except exec finally for + from global if import in is lambda not or pass print raise return try while with + yield + 4ESSETKEYWORDS + and array as bool boolean break case cfunction class const continue declare + default die directory do double echo else elseif empty enddeclare endfor endforeach + endif endswitch endwhile eval exit extends false float for foreach function global + goto if include include_once int integer isset list namespace new null object + old_function or parent print real require require_once resource return static stdclass + string switch true unset use var while xor abstract catch clone exception final + implements interface php_user_filter private protected public this throw try __class__ + __dir__ __file__ __function__ __line__ __method__ __namespace__ __sleep __wakeup + 5ESSETKEYWORDS + ELEMENT DOCTYPE ATTLIST ENTITY NOTATION + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.comment],42M[color.set] + :M[color.comment],43M[color.set] + :M[color.comment],44M[color.set] + :M[color.number],45M[color.set] + :M[color.keyword],47M[color.set] + :M[color.string],48M[color.set] + :M[color.string],49M[color.set] + :M[color.operator],50M[color.set] + :M[color.comment],72M[color.set] + :M[color.comment],82M[color.set] + :M[color.comment],92M[color.set] + :M[color.comment],107M[color.set] + :M[color.comment],124M[color.set] + :M[color.comment],125M[color.set] +} diff --git a/lib/lexers/pike.tes b/lib/lexers/pike.tes new file mode 100644 index 0000000..29044f4 --- /dev/null +++ b/lib/lexers/pike.tes @@ -0,0 +1,25 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.pike]{ [_ + _.pikeM[lexer.checkname] +]_ } + +@[lexer.set.pike]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + constant enum if do for while else foreach case switch break continue return + typeof catch class lambda import inherit static void int string float mixed object + function program array mapping multiset + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/pov.tes b/lib/lexers/pov.tes new file mode 100644 index 0000000..f8b3d37 --- /dev/null +++ b/lib/lexers/pov.tes @@ -0,0 +1,76 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.pov]{ [_ + _.[pov,inc]M[lexer.checkname] +]_ } + +@[lexer.set.pov]{ + ESSETLEXER,SCLEX_POV + 0ESSETKEYWORDS + declare local include undef fopen fclose read write default version case + range break debug error warning if ifdef ifndef switch while macro else end + 1ESSETKEYWORDS + camera light_source light_group object blob sphere cylinder box cone + height_field julia_fractal lathe prism sphere_sweep superellipsoid sor text torus + bicubic_patch disc mesh mesh2 polygon triangle smooth_triangle plane poly cubic quartic + quadric isosurface parametric union intersection difference merge function array + spline vertex_vectors normal_vectors uv_vectors face_indices normal_indices + uv_indices texture texture_list interior_texture texture_map material_map image_map + color_map colour_map pigment_map normal_map slope_map bump_map density_map pigment + normal material interior finish reflection irid slope pigment_pattern image_pattern + warp media scattering density background fog sky_sphere rainbow global_settings + radiosity photons pattern transform looks_like projected_through contained_by + clipped_by bounded_by + 2ESSETKEYWORDS + linear_spline quadratic_spline cubic_spline natural_spline bezier_spline + b_spline read write append inverse open perspective orthographic fisheye + ultra_wide_angle omnimax panoramic spherical spotlight jitter circular orient + media_attenuation media_interaction shadowless parallel refraction collect pass_through + global_lights hierarchy sturm smooth gif tga iff pot png pgm ppm jpeg tiff sys ttf + quaternion hypercomplex linear_sweep conic_sweep type all_intersections split_union + cutaway_textures no_shadow no_image no_reflection double_illuminate hollow uv_mapping all + use_index use_color use_colour no_bump_scale conserve_energy fresnel average agate + boxed bozo bumps cells crackle cylindrical density_file dents facets granite + leopard marble onion planar quilted radial ripples spotted waves wood wrinkles solid + use_alpha interpolate magnet noise_generator toroidal ramp_wave triangle_wave + sine_wave scallop_wave cubic_wave poly_wave once map_type method fog_type hf_gray_16 + charset ascii utf8 rotate scale translate matrix location right up direction sky + angle look_at aperture blur_samples focal_point confidence variance radius falloff + tightness point_at area_light adaptive fade_distance fade_power threshold strength + water_level tolerance max_iteration precision slice u_steps v_steps flatness + inside_vector accuracy max_gradient evaluate max_trace precompute target ior dispersion + dispersion_samples caustics color colour rgb rgbf rgbt rgbft red green blue filter transmit + gray hf fade_color fade_colour quick_color quick_colour brick checker hexagon + brick_size mortar bump_size ambient diffuse brilliance crand phong phong_size metallic + specular roughness reflection_exponent exponent thickness gradient spiral1 spiral2 + agate_turb form metric offset df3 coords size mandel exterior julia control0 control1 + altitude turbulence octaves omega lambda repeat flip black-hole orientation dist_exp + major_radius frequency phase intervals samples ratio absorption emission aa_threshold + aa_level eccentricity extinction distance turb_depth fog_offset fog_alt width + arc_angle falloff_angle adc_bailout ambient_light assumed_gamma irid_wavelength + number_of_waves always_sample brigthness count error_bound gray_threshold load_file + low_error_factor max_sample minimum_reuse nearest_count pretrace_end pretrace_start + recursion_limit save_file spacing gather max_trace_level autostop expand_thresholds + 3ESSETKEYWORDS + x y z t u v yes no true false on off clock clock_delta clock_on final_clock + final_frame frame_number image_height image_width initial_clock initial_frame pi version + 4ESSETKEYWORDS + abs acos acosh asc asin asinh atan atanh atan2 ceil cos cosh defined degrees + dimensions dimension_size div exp file_exists floor inside int ln log max min mod pow + prod radians rand seed select sin sinh sqrt strcmp strlen sum tan tanh val vdot + vlength min_extent max_extent trace vaxis_rotate vcross vrotate vnormalize + vturbulence chr concat str strlwr strupr substr vstr sqr cube reciprocal pwr + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],3M[color.set] + :M[color.operator],4M[color.set] + :M[color.string],6M[color.set] + :M[color.preproc],8M[color.set] + :M[color.keyword],10M[color.set] + :M[color.keyword],11M[color.set] + :M[color.keyword],12M[color.set] + :M[color.keyword],13M[color.set] + :M[color.keyword],14M[color.set] + :M[color.keyword],15M[color.set] + :M[color.keyword],17M[color.set] +} diff --git a/lib/lexers/powerpro.tes b/lib/lexers/powerpro.tes new file mode 100644 index 0000000..d0a7407 --- /dev/null +++ b/lib/lexers/powerpro.tes @@ -0,0 +1,30 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.powerpro]{ [_ + _.powerproM[lexer.checkname] +]_ } + +@[lexer.set.powerpro]{ + ESSETLEXER,SCLEX_POWERPRO + 0ESSETKEYWORDS + break do else elseif endfor endif for function global gt if ifelse ifx jump + local lt quit static + 1ESSETKEYWORDS + win.debug win.debugshow win.exists win.getdisplayrect win.getfocus + win.gethandle win.getrect win.getsystemmetrics win.gettext win.maximize win.minimize + win.move win.sendkeys win.setdebug win.setfocus win.setrect win.settext win.show|bar + case clip env exec fill flag floattostring format formattime ftos index input + inputcancel inputdefault inputdialog join length messagebox mouse not note readline + remove replace replacechars replaceg revindex select stof validpath visiblewindow + wait wait.activity wait.for wait.forinterval wait.message wait.quit wait.ready + wait.sleep wait.until wallpaper window window word + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],3M[color.set] + :M[color.string],8M[color.set] + :M[color.string2],9M[color.set] + :M[color.preproc],10M[color.set] + :M[color.operator],11M[color.set] + :M[color.string2],14M[color.set] + :M[color.string],15M[color.set] +} diff --git a/lib/lexers/purebasic.tes b/lib/lexers/purebasic.tes new file mode 100644 index 0000000..c6ad766 --- /dev/null +++ b/lib/lexers/purebasic.tes @@ -0,0 +1,33 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.purebasic]{ [_ + _.pbM[lexer.checkname] +]_ } + +@[lexer.set.purebasic]{ + ESSETLEXER,SCLEX_PUREBASIC + 0ESSETKEYWORDS + and break case continue data datasection declare declarecdll declaredll + default deftype dim else elseif end enddatasection endenumeration endif endinterface + endprocedure endselect endstructure endstructureunion enumeration extends fakereturn for + foreach forever global gosub goto if includebinary includefile includepath interface + newlist next or procedure procedurecdll proceduredll procedurereturn protected read + repeat restore return select shared static step structure structureunion to until + wend while xincludefile + 1ESSETKEYWORDS + compilercase compilerdefault compilerelse compilerendif compilerendselect + compilerif compilerselect + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],4M[color.set] + :M[color.operator],6M[color.set] + :M[color.preproc],10M[color.set] + :M[color.keyword],11M[color.set] + :M[color.keyword],12M[color.set] + :M[color.number],13M[color.set] + :M[color.preproc],15M[color.set] + :M[color.error],16M[color.set] + :M[color.number],17M[color.set] + :M[color.number],18M[color.set] +} diff --git a/lib/lexers/r.tes b/lib/lexers/r.tes new file mode 100644 index 0000000..c90384c --- /dev/null +++ b/lib/lexers/r.tes @@ -0,0 +1,87 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.r]{ [_ + _.[R,rsource,S]M[lexer.checkname] +]_ } + +@[lexer.set.r]{ + ESSETLEXER,SCLEX_R + 0ESSETKEYWORDS + if else repeat while function for in next break TRUE FALSE NULL NA Inf NaN + 1ESSETKEYWORDS + abbreviate abline abs acf acos acosh addmargins aggregate agrep alarm alias + alist all anova any aov aperm append apply approx approxfun apropos ar args arima + array arrows asin asinh assign assocplot atan atanh attach attr attributes + autoload autoloader ave axis backsolve barplot basename beta bindtextdomain binomial + biplot bitmap bmp body box boxplot bquote break browser builtins bxp by bzfile c + call cancor capabilities casefold cat category cbind ccf ceiling character + charmatch chartr chol choose chull citation class close cm cmdscale codes coef + coefficients col colnames colors colorspaces colours comment complex confint conflicts + contour contrasts contributors convolve cophenetic coplot cor cos cosh cov covratio + cpgram crossprod cummax cummin cumprod cumsum curve cut cutree cycle data dataentry + date dbeta dbinom dcauchy dchisq de debug debugger decompose delay deltat demo + dendrapply density deparse deriv det detach determinant deviance dexp df dfbeta dfbetas + dffits dgamma dgeom dget dhyper diag diff diffinv difftime digamma dim dimnames dir + dirname dist dlnorm dlogis dmultinom dnbinom dnorm dotchart double dpois dput drop + dsignrank dt dump dunif duplicated dweibull dwilcox eapply ecdf edit effects eigen + emacs embed end environment eval evalq example exists exp expression factanal + factor factorial family fft fifo file filter find fitted fivenum fix floor flush + for force formals format formula forwardsolve fourfoldplot frame frequency + ftable function gamma gaussian gc gcinfo gctorture get getenv geterrmessage gettext + gettextf getwd gl glm globalenv gray grep grey grid gsub gzcon gzfile hat hatvalues + hcl hclust head heatmap help hist history hsv httpclient iconv iconvlist + identical identify if ifelse image influence inherits integer integrate interaction + interactive intersect invisible isoreg jitter jpeg julian kappa kernapply kernel kmeans + knots kronecker ksmooth labels lag lapply layout lbeta lchoose lcm legend length + letters levels lfactorial lgamma library licence license line lines list lm load + loadhistory loadings local locator loess log logb logical loglin lowess ls lsfit machine + mad mahalanobis makepredictcall manova mapply match matlines matplot matpoints + matrix max mean median medpolish menu merge message methods mget min missing mode + monthplot months mosaicplot mtext mvfft names napredict naprint naresid nargs nchar + ncol next nextn ngettext nlevels nlm nls noquote nrow numeric objects offset open + optim optimise optimize options order ordered outer pacf page pairlist pairs + palette par parse paste pbeta pbinom pbirthday pcauchy pchisq pdf pentagamma person + persp pexp pf pgamma pgeom phyper pi pico pictex pie piechart pipe plclust plnorm + plogis plot pmatch pmax pmin pnbinom png pnorm points poisson poly polygon polym + polyroot postscript power ppoints ppois ppr prcomp predict preplot pretty princomp + print prmatrix prod profile profiler proj promax prompt provide psigamma psignrank + pt ptukey punif pweibull pwilcox q qbeta qbinom qbirthday qcauchy qchisq qexp + qf qgamma qgeom qhyper qlnorm qlogis qnbinom qnorm qpois qqline qqnorm qqplot + qr qsignrank qt qtukey quantile quarters quasi quasibinomial quasipoisson quit + qunif quote qweibull qwilcox rainbow range rank raw rbeta rbind rbinom rcauchy + rchisq readline real recover rect reformulate regexpr relevel remove reorder rep + repeat replace replicate replications require reshape resid residuals restart + return rev rexp rf rgamma rgb rgeom rhyper rle rlnorm rlogis rm rmultinom rnbinom + rnorm round row rownames rowsum rpois rsignrank rstandard rstudent rt rug runif + runmed rweibull rwilcox sample sapply save savehistory scale scan screen screeplot + sd search searchpaths seek segments seq sequence serialize setdiff setequal + setwd shell sign signif sin single sinh sink smooth solve sort source spectrum + spline splinefun split sprintf sqrt stack stars start stderr stdin stdout stem step + stepfun stl stop stopifnot str strftime strheight stripchart strptime strsplit + strtrim structure strwidth strwrap sub subset substitute substr substring sum + summary sunflowerplot supsmu svd sweep switch symbols symnum system t table tabulate + tail tan tanh tapply tempdir tempfile termplot terms tetragamma text time title + toeplitz tolower topenv toupper trace traceback transform trigamma trunc truncate try + ts tsdiag tsp typeof unclass undebug union unique uniroot unix unlink unlist + unname unserialize unsplit unstack untrace unz update upgrade url var varimax vcov + vector version vi vignette warning warnings weekdays weights which while window + windows with write wsbrowser xedit xemacs xfig xinch xor xtabs xyinch yinch zapsmall + 2ESSETKEYWORDS + acme aids aircondit amis aml banking barchart barley beaver bigcity boot + brambles breslow bs bwplot calcium cane capability cav censboot channing city + claridge cloth cloud coal condense contourplot control corr darwin densityplot dogs + dotplot ducks empinf envelope environmental ethanol fir frets gpar grav gravity grob + hirose histogram islay knn larrows levelplot llines logit lpoints lsegments lset + ltext lvqinit lvqtest manaus melanoma melanoma motor multiedit neuro nitrofen + nodal ns nuclear oneway parallel paulsen poisons polar qq qqmath remission rfs + saddle salinity shingle simplex singer somgrid splom stripplot survival tau tmd + tsboot tuna unit urine viewport wireframe wool xyplot + :M[color.comment],1M[color.set] + :M[color.keyword],2M[color.set] + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.operator],8M[color.set] + :M[color.operator],10M[color.set] + :M[color.operator],11M[color.set] +} diff --git a/lib/lexers/rc.tes b/lib/lexers/rc.tes new file mode 100644 index 0000000..bddb84f --- /dev/null +++ b/lib/lexers/rc.tes @@ -0,0 +1,28 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.rc]{ [_ + _.[rc,rc2,dlg]M[lexer.checkname] +]_ } + +@[lexer.set.rc]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + ACCELERATORS ALT AUTO3STATE AUTOCHECKBOX AUTORADIOBUTTON BEGIN BITMAP BLOCK + BUTTON CAPTION CHARACTERISTICS CHECKBOX CLASS COMBOBOX CONTROL CTEXT CURSOR + DEFPUSHBUTTON DIALOG DIALOGEX DISCARDABLE EDITTEXT END EXSTYLE FONT GROUPBOX ICON LANGUAGE + LISTBOX LTEXT MENU MENUEX MENUITEM MESSAGETABLE POPUP PUSHBUTTON RADIOBUTTON RCDATA + RTEXT SCROLLBAR SEPARATOR SHIFT STATE3 STRINGTABLE STYLE TEXTINCLUDE VALUE VERSION + VERSIONINFO VIRTKEY + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/rebol.tes b/lib/lexers/rebol.tes new file mode 100644 index 0000000..cf3105d --- /dev/null +++ b/lib/lexers/rebol.tes @@ -0,0 +1,70 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.rebol]{ [_ + _.[r,reb]M[lexer.checkname] +]_ } + +@[lexer.set.rebol]{ + ESSETLEXER,SCLEX_REBOL + 0ESSETKEYWORDS + about abs absolute add alert alias all alter and any any-block? + any-function? any-string? any-type? any-word? append arccosine arcsine arctangent array + as-pair ask at attempt back binary? bind bitset? block? break brightness? browse + build-tag caret-to-offset catch center-face change change-dir char? charset checksum + choose clean-path clear clear-fields close comment complement component? compose + compress confirm connected? construct context copy cosine datatype? date? debase + decimal? decode-cgi decompress dehex delete detab difference dir? dirize disarm + dispatch divide do do-events does dump-face dump-obj echo either else email? empty? + enbase entab equal? error? even? event? exclude exists? exit exp extract fifth + file? find first flash focus for forall foreach forever form forskip found? fourth + free func function function? get get-modes get-word? greater-or-equal? greater? + halt has hash? head head? help hide hide-popup if image? import-email in + in-window? index? info? inform input input? insert integer? intersect issue? join last + launch layout length? lesser-or-equal? lesser? library? license link? list-dir + list? lit-path? lit-word? load load-image log-10 log-2 log-e logic? loop lowercase + make make-dir make-face max maximum maximum-of min minimum minimum-of modified? + mold money? multiply native? negate negative? next none? not not-equal? now + number? object? odd? offset-to-caret offset? op? open or pair? paren? parse + parse-xml path? pick poke port? positive? power prin print probe protect + protect-system query quit random read read-io recycle reduce refinement? reform rejoin + remainder remold remove remove-each rename repeat repend replace request request-color + request-date request-download request-file request-list request-pass request-text resend + return reverse routine? same? save script? second secure select send series? set + set-modes set-net set-path? set-word? show show-popup sign? sine size-text size? skip + sort source span? split-path square-root strict-equal? strict-not-equal? string? + struct? stylize subtract suffix? switch tag? tail tail? tangent third throw time? to + to-binary to-bitset to-block to-char to-date to-decimal to-email to-file to-get-word + to-hash to-hex to-idate to-image to-integer to-issue to-list to-lit-path to-lit-word + to-local-file to-logic to-money to-pair to-paren to-path to-rebol-file to-refinement + to-set-path to-set-word to-string to-tag to-time to-tuple to-url to-word trace trim try + tuple? type? unfocus union unique unprotect unset unset? until unview update + upgrade uppercase url? usage use value? view viewed? wait what what-dir while + within? word? write write-io xor zero? + 1ESSETKEYWORDS + action? any-block? any-function? any-string? any-type? any-word? binary? + bitset? block? char? component? connected? datatype? date? decimal? dir? email? + empty? equal? error? even? event? exists? file? found? function? get-word? + greater-or-equal greater? hash? head? image? index? info? input? integer? issue? length? + lesser-or-equal? lesser? library? link-app? link? list? lit-path? lit-word? logic? modified? + money? native? negative? none? not-equal? number? object? odd? offset? op? pair? + paren? path? port? positive? rebol-command? rebol-encap? rebol-link? rebol-pro? + rebol-view? refinement? routine? same? script? series? set-path? set-word? sign? size? + strict-equal? strict-not-equal string? struct? suffix? tag? tail? time? tuple? type? + unset? url? value? view? word? zero? + 2ESSETKEYWORDS + action! any-block! any-function! any-string! any-type! any-word! binary! + bitset! block! char! datatype! date! decimal! email! error! event! file! function! + get-word! hash! image! integer! issue! library! list! lit-path! lit-word! logic! + money! native! none! number! object! op! pair! paren! path! port! refinement! + routine! series! set-path! set-word! string! struct! symbol! tag! time! tuple! unset! + url! word! + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.operator],4M[color.set] + :M[color.string],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.number],8M[color.set] + :M[color.keyword],21M[color.set] +} diff --git a/lib/lexers/rust.tes b/lib/lexers/rust.tes new file mode 100644 index 0000000..c0d9497 --- /dev/null +++ b/lib/lexers/rust.tes @@ -0,0 +1,41 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.rust]{ [_ + _.rsM[lexer.checkname] +]_ } + +@[lexer.set.rust]{ + ESSETLEXER,SCLEX_RUST + 0ESSETKEYWORDS + if else repeat while function for in next break TRUE FALSE NULL NA Inf NaN + 1ESSETKEYWORDS + bool char f32 f64 i16 i32 i64 i8 int str u16 u32 u64 u8 uint + 2ESSETKEYWORDS + acme aids aircondit amis aml banking barchart barley beaver bigcity boot + brambles breslow bs bwplot calcium cane capability cav censboot channing city + claridge cloth cloud coal condense contourplot control corr darwin densityplot dogs + dotplot ducks empinf envelope environmental ethanol fir frets gpar grav gravity grob + hirose histogram islay knn larrows levelplot llines logit lpoints lsegments lset + ltext lvqinit lvqtest manaus melanoma melanoma motor multiedit neuro nitrofen + nodal ns nuclear oneway parallel paulsen poisons polar qq qqmath remission rfs + saddle salinity shingle simplex singer somgrid splom stripplot survival tau tmd + tsboot tuna unit urine viewport wireframe wool xyplot + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.comment],4M[color.set] + :M[color.number],5M[color.set] + :M[color.keyword],6M[color.set] + :M[color.keyword],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.keyword],9M[color.set] + :M[color.keyword],10M[color.set] + :M[color.keyword],11M[color.set] + :M[color.keyword],12M[color.set] + :M[color.string],13M[color.set] + :M[color.string2],15M[color.set] + :M[color.operator],16M[color.set] + :M[color.preproc],19M[color.set] + :M[color.string],21M[color.set] + :M[color.string2],23M[color.set] +} diff --git a/lib/lexers/scheme.tes b/lib/lexers/scheme.tes new file mode 100644 index 0000000..5ad6db6 --- /dev/null +++ b/lib/lexers/scheme.tes @@ -0,0 +1,40 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.scheme]{ [_ + _.[scm,smd,ss]M[lexer.checkname] +]_ } + +@[lexer.set.scheme]{ + ESSETLEXER,SCLEX_LISP + 0ESSETKEYWORDS + + - * / = < > <= >= => abs acos and angle append apply asin assoc assoc assq + assv atan begin boolean? caar cadr call-with-current-continuation call/cc + call-with-input-file call-with-output-file call-with-values car cdr caar cadr cdar cddr caaar + caadr cadar caddr cdaar cdadr cddar cdddr caaaar caaadr caadar caaddr cadaar + cadadr caddar cadddr cdaaar cdaadr cdadar cdaddr cddaar cddadr cdddar cddddr case + ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? + char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase + char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port + close-output-port complex? cond cons cos current-input-port current-output-port define + define-syntax delay denominator display do dynamic-wind else eof-object? eq? equal? eqv? + eval even? exact->inexact exact? exp expt floor for-each force gcd if imag-part + inexact->exact inexact? input-port? integer->char integer? interaction-environment lambda + lcm length let let* let-syntax letrec letrec-syntax list list->string + list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular + make-string make-vector map max member memq memv min modulo negative? newline not + null-environment null? number->string number? numerator odd? open-input-file open-output-file + or output-port? pair? peek-char input-port? output-port? positive? procedure? + quasiquote quote quotient rational? rationalize read read-char real-part real? + remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt + string string->list string->number string->symbol string-append string-ci<=? + string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length + string-ref string-set! string<=? string<? string=? string>=? string>? string? substring + symbol->string symbol? syntax-rules transcript-off transcript-on truncate unquote + unquote-splicing values vector vector->list vector-fill! vector-length vector-ref vector-set! + vector? with-input-from-file with-output-to-file write write-char zero? + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],6M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/specman.tes b/lib/lexers/specman.tes new file mode 100644 index 0000000..1ffcec1 --- /dev/null +++ b/lib/lexers/specman.tes @@ -0,0 +1,45 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.specman]{ [_ + _.eM[lexer.checkname] +]_ } + +@[lexer.set.specman]{ + ESSETLEXER,SCLEX_EIFFEL + 0ESSETKEYWORDS + abs acos ascii asin asstring atan atan2 ave case choose choosen choosesets + clustersize cos cosh count cron dataset dedup denormalize distribute distributed + distribution ebcdic enth error evaluate event exists exp fetch fromunicode getisvalid + global group hash hash32 hash64 hashcrc hashmd5 if index intformat isvalid iterate + join keyunicode length limit ln log map matched matchlength matchposition + matchtext matchunicode max merge min normalize parse pipe power preload project pull + random rank ranked realformat recordof regexfind regexreplace rejected rollup round + roundup row rowdiff sample set sin sinh sizeof soapcall sort sorted sqrt sum table + tan tanh topn tounicode transfer trim truncate typeof ungroup unicodeorder which + workunit xmldecode xmlencode xmltext xmlunicode + 1ESSETKEYWORDS + bell bind bindtags bitmap button canvas checkbutton clipboard colors console + cursors destroy entry event focus font frame grab grid image Inter-client keysyms + label labelframe listbox lower menu menubutton message option options pack + panedwindow photo place radiobutton raise scale scrollbar selection send spinbox text tk + tk_chooseColor tk_chooseDirectory tk_dialog tk_focusNext tk_getOpenFile tk_messageBox + tk_optionMenu tk_popup tk_setPalette tkerror tkvars tkwait toplevel winfo wish wm + 2ESSETKEYWORDS + -define -else -endif -ifdef -ifndef -include -include_lib -undef + 3ESSETKEYWORDS + ascii big_endian boolean data decimal ebcdic integer pattern qstring real + record rule set of string token udecimal unicode unsigned varstring varunicode + 4ESSETKEYWORDS + checkpoint deprecated failcode failmessage failure global independent + onwarning persist priority recovery stored success wait when + 5ESSETKEYWORDS + #append #break #declare #demangle #end #for #getdatatype #if #inmodule #loop + #mangle #onwarning #option #set #stored #uniquename #workunit integer symbol value + text symbol value + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],4M[color.set] + :M[color.string2],5M[color.set] + :M[color.operator],6M[color.set] +} diff --git a/lib/lexers/spice.tes b/lib/lexers/spice.tes new file mode 100644 index 0000000..6a702af --- /dev/null +++ b/lib/lexers/spice.tes @@ -0,0 +1,38 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.spice]{ [_ + _.[scp,out]M[lexer.checkname] +]_ } + +@[lexer.set.spice]{ + ESSETLEXER,SCLEX_SPICE + 0ESSETKEYWORDS + ac alias alter alterparam append askvalues assertvalid autoscale break + compose copy copytodoc dc delete destroy destroyvec diff display disto dowhile echo + else end errorstop fftinit filter foreach fourier freqtotime function + functionundef goto homecursors if isdisplayed label let linearize listing load + loadaccumulator makelabel movelabel makesmithplot movecursorleft movecursorright msgbox + nameplot newplot nextparam noise nopoints op plot plotf plotref poly print + printcursors printevent printname printplot printstatus printtext printtol printunits + printval printvector pwl pz quit removesmithplot rename repeat resume rotate runs + rusage save sendplot sendscript sens set setcursor setdoc setlabel setlabeltype + setmargins setnthtrigger setunits setvec setparam setplot setquery setscaletype + settracecolor settracestyle setsource settrigger setvec setxlimits setylimits show showmod + sort status step stop switch tf timetofreq timetowave tran unalias unlet unset + unalterparam update version view wavefilter wavetotime where while write + 1ESSETKEYWORDS + abs askvalue atan average ceil cos db differentiate differentiatex exp + finalvalue floor getcursorx getcursory getcursory0 getcursory1 getparam im ln + initialvalue integrate integratex interpolate isdef isdisplayed j log length mag, max + maxscale mean meanpts min minscale nextplot nextvector norm operatingpoint ph phase + phaseextend pk_pk pos pulse re rms rmspts rnd sameplot sin sqrt stddev stddevpts tan + tfall tolerance trise unitvec vector + 2ESSETKEYWORDS + param nodeset include options dcconv subckt ends model + :M[color.keyword],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.number],5M[color.set] + :M[color.operator],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.comment],8M[color.set] +} diff --git a/lib/lexers/swift.tes b/lib/lexers/swift.tes new file mode 100644 index 0000000..cb6a42d --- /dev/null +++ b/lib/lexers/swift.tes @@ -0,0 +1,29 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.swift]{ [_ + _.swiftM[lexer.checkname] +]_ } + +@[lexer.set.swift]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + class deinit enum extension func import init internal let operator private + protocol public static struct subscript typealias var break case continue default do + else fallthrough for if in return switch where while as dynamicType false is nil + self Self super true __COLUMN__ __FILE__ __FUNCTION__ __LINE__ associativity + convenience dynamic didSet final get infix inout lazy left mutating none nonmutating + optional override postfix precedence prefix Protocol required right set Type unowned + weak willSet + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/systemverilog.tes b/lib/lexers/systemverilog.tes new file mode 100644 index 0000000..04a4a35 --- /dev/null +++ b/lib/lexers/systemverilog.tes @@ -0,0 +1,68 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.systemverilog]{ [_ + _.[sv,svh]M[lexer.checkname] +]_ } + +@[lexer.set.systemverilog]{ + ESSETLEXER,SCLEX_VERILOG + 0ESSETKEYWORDS + alias always always_comb always_ff always_latch and assert assign assume + automatic before begin bind bins binsof bit break buf bufif0 bufif1 byte case casex + casez cell chandle class clocking cmos config const constraint context continue + cover covergroup coverpoint cross deassign default defparam design disable dist do + edge else end endcase endclass endclocking endconfig endfunction endgenerate + endgroup endinterface endmodule endpackage endprimitive endprogram endproperty + endspecify endsequence endtable endtask enum event expect export extends extern final + first_match for force foreach forever fork forkjoin function generate genvar highz0 + highz1 if iff ifnone ignore_bins illegal_bins import incdir include initial inout + input inside instance int integer interface intersect join join_any join_none + large liblist library local localparam logic longint macromodule matches medium + modport module nand negedge new nmos nor noshowcancelled not notif0 notif1 null or + output package packed parameter pmos posedge primitive priority program property + protected pull0 pull1 pulldown pullup pulsestyle_onevent pulsestyle_ondetect pure rand + randc randcase randsequence rcmos real realtime ref reg release repeat return + rnmos rpmos rtran rtranif0 rtranif1 scalared sequence shortint shortreal + showcancelled signed small solve specify specparam static string strong0 strong1 struct + super supply0 supply1 table tagged task this throughout time timeprecision + timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union + unique unsigned use uwire var vectored virtual void wait wait_order wand weak0 + weak1 while wildcard wire with within wor xnor xor + 2ESSETKEYWORDS + $acos $acosh $asin $asinh $assertfailoff $assertfailon $assertkill + $assertnonvacuouson $assertoff $asserton $assertpassoff $assertpasson $assertvacuousoff + $async$and$array $async$and$plane $async$nand$array $async$nand$plane $async$nor$array + $async$nor$plane $async$or$array $async$or$plane $atan $atan2 $atanh $bits $bitstoreal + $bitstoshortreal $cast $ceil $changed $changed_gclk $changing_gclk $clog2 $cos $cosh + $countdrivers $countones $coverage_control $coverage_get $coverage_get_max $coverage_merge + $coverage_save $dimensions $display $displayb $displayh $displayo $dist_chi_square + $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $dumpall + $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpports $dumpportsall + $dumpportsflush $dumpportslimit $dumpportsoff $dumpportson $dumpvars $error $exit $exp + $falling_gclk $fatal $fclose $fdisplay $fdisplayb $fdisplayf $fdisplayh $fdisplayo $fell + $fell_gclk $feof $ferror $fflush $fgetc $fgets $finish $floor $fmonitor $fmonitorb + $fmonitorf $fmonitorh $fmonitoro $fopen $fread $fscanf $fseek $fsscanf $fstrobe + $fstrobeb $fstrobebb $fstrobef $fstrobeh $fstrobeo $ftel $ftell $fullskew $future_gclk + $fwrite $fwriteb $fwritef $fwriteh $fwriteo $get_coverage $getpattern $high $history + $hold $hypot $increment $incsave $info $input $isunbounded $isunknown $itor $key + $left $list $ln $load_coverage_db $log $log10 $low $monitor $monitorb $monitorh + $monitoro $monitoroff $monitoron $nochange $nokey $nolog $onehot $onehot0 $past + $past_gclk $period $pow $printtimescale $q_add $q_exam $q_full $q_initialize $q_remove + $random $readmemb $readmemh $realtime $realtobits $recovery $recrem $removal $reset + $reset_count $reset_value $restart $rewind $right $rising_gclk $root $rose $rose_gclk + $rtoi $sampled $save $scale $scope $sdf_annotate $set_coverage_db_name $setup + $setuphold $sformat $sformatf $shortrealtobits $showscopes $showvariables $showvars + $signed $sin $sinh $size $skew $sqrt $sreadmemb $sreadmemh $sscanf $stable + $stable_gclk $steady_gclk $stime $stop $strobe $strobeb $strobeh $strobeo $swrite + $swriteb $swriteh $swriteo $sync$and$array $sync$and$plane $sync$nand$array + $sync$nand$plane $sync$nor$array $sync$nor$plane $sync$or$array $sync$or$plane $system $tan + $tanh $test$plusargs $time $timeformat $timeskew $typename $ungetc $unit + $unpacked_dimensions $unsigned $urandom $urandom_range $value$plusargs $warning $width $write + $writeb $writeh $writememb $writememh $writeo + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.preproc],9M[color.set] +} diff --git a/lib/lexers/tacl.tes b/lib/lexers/tacl.tes new file mode 100644 index 0000000..d51edef --- /dev/null +++ b/lib/lexers/tacl.tes @@ -0,0 +1,28 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.tacl]{ [_ + _.taclM[lexer.checkname] +]_ } + +@[lexer.set.tacl]{ + ESSETLEXER,SCLEX_TACL + 0ESSETKEYWORDS + activate add adddsttransition adduser alter altpri assign attachseg + backupcpu break builtins buscmd clear columnize comment compute copy dump copyvar + create createseg debug debugnow default delete deluser detachseg env exit fileinfo + filenames files filetovar help history home info initterm inlecho inleof inlout + inlprefix inlto join keep keys lights load loaded files logoff logon o obey outvar + param password pause pmsearch pmsg pop ppd purge push rcvdump receivedump reload + remotepassword rename reset rpasswrd run rund seginfo set setprompt settime show sink + status stop suspend switch system systimes time timestamp_to_text useusers + variables varinfo vartofile vchange vcopy vdelete vfind vinsert vlist vmove volume + vtree wakeup who xbusdown xbusup ybusdown ybusup _comparev _contime_to_text + _contime_to_text_date _contime_to_text_time _debugger _execute _longest _month3 + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/tal.tes b/lib/lexers/tal.tes new file mode 100644 index 0000000..d292556 --- /dev/null +++ b/lib/lexers/tal.tes @@ -0,0 +1,26 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.tal]{ [_ + _.TALM[lexer.checkname] +]_ } + +@[lexer.set.tal]{ + ESSETLEXER,SCLEX_TAL + 0ESSETKEYWORDS + and assert baddr begin by call callable case cbaddr code cwaddr define do + downto drop else end entry external fixed for forward goto if int interrupt label + land literal lor main not of or otherwise priv proc procaddr real resident return + rscan scan sgbaddr sgwaddr sgxbaddr sgxwaddr stack store string struct subproc + then to unsigned until use variable waddr while xor + 1ESSETKEYWORDS + at below bit_filler block bytes c cobol elements ext extensible filler + fortran language name pascal private unspecified words + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.keyword],8M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] +} diff --git a/lib/lexers/tcl.tes b/lib/lexers/tcl.tes new file mode 100644 index 0000000..a45acf7 --- /dev/null +++ b/lib/lexers/tcl.tes @@ -0,0 +1,92 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.tcl]{ [_ + _.[tcl,exp]M[lexer.checkname] +]_ } + +@[lexer.set.tcl]{ + ESSETLEXER,SCLEX_TCL + 0ESSETKEYWORDS + after append array auto_execok auto_import auto_load auto_load_index + auto_qualify beep bgerror binary break case catch cd clock close concat continue dde + default echo else elseif encoding eof error eval exec exit expr fblocked fconfigure + fcopy file fileevent flush for foreach format gets glob global history http if + incr info interp join lappend lindex linsert list llength load loadTk lrange + lreplace lsearch lset lsort memory msgcat namespace open package pid pkg::create + pkg_mkIndex Platform-specific proc puts pwd re_syntax read regexp registry regsub rename + resource return scan seek set socket source split string subst switch tclLog + tclMacPkgSearch tclPkgSetup tclPkgUnknown tell time trace unknown unset update uplevel upvar + variable vwait while + 1ESSETKEYWORDS + bell bind bindtags bitmap button canvas checkbutton clipboard colors console + cursors destroy entry event focus font frame grab grid image Inter-client keysyms + label labelframe listbox lower menu menubutton message option options pack + panedwindow photo place radiobutton raise scale scrollbar selection send spinbox text tk + tk_chooseColor tk_chooseDirectory tk_dialog tk_focusNext tk_getOpenFile tk_messageBox + tk_optionMenu tk_popup tk_setPalette tkerror tkvars tkwait toplevel winfo wish wm + 2ESSETKEYWORDS + tk_bisque tk_chooseColor tk_dialog tk_focusFollowsMouse tk_focusNext + tk_focusPrev tk_getOpenFile tk_getSaveFile tk_messageBox tk_optionMenu tk_popup + tk_setPalette tk_textCopy tk_textCut tk_textPaste tkButtonAutoInvoke tkButtonDown + tkButtonEnter tkButtonInvoke tkButtonLeave tkButtonUp tkCancelRepeat tkCheckRadioDown + tkCheckRadioEnter tkCheckRadioInvoke tkColorDialog tkColorDialog_BuildDialog + tkColorDialog_CancelCmd tkColorDialog_Config tkColorDialog_CreateSelector + tkColorDialog_DrawColorScale tkColorDialog_EnterColorBar tkColorDialog_HandleRGBEntry + tkColorDialog_HandleSelEntry tkColorDialog_InitValues tkColorDialog_LeaveColorBar + tkColorDialog_MoveSelector tkColorDialog_OkCmd tkColorDialog_RedrawColorBars + tkColorDialog_RedrawFinalColor tkColorDialog_ReleaseMouse tkColorDialog_ResizeColorBars + tkColorDialog_RgbToX tkColorDialog_SetRGBValue tkColorDialog_StartMove tkColorDialog_XToRgb + tkConsoleAbout tkConsoleBind tkConsoleExit tkConsoleHistory tkConsoleInit tkConsoleInsert + tkConsoleInvoke tkConsoleOutput tkConsolePrompt tkConsoleSource tkDarken tkEntryAutoScan + tkEntryBackspace tkEntryButton1 tkEntryClosestGap tkEntryGetSelection tkEntryInsert + tkEntryKeySelect tkEntryMouseSelect tkEntryNextWord tkEntryPaste tkEntryPreviousWord + tkEntrySeeInsert tkEntrySetCursor tkEntryTranspose tkEventMotifBindings tkFDGetFileTypes + tkFirstMenu tkFocusGroup_BindIn tkFocusGroup_BindOut tkFocusGroup_Create + tkFocusGroup_Destroy tkFocusGroup_In tkFocusGroup_Out tkFocusOK tkGenerateMenuSelect tkIconList + tkIconList_Add tkIconList_Arrange tkIconList_AutoScan tkIconList_Btn1 tkIconList_Config + tkIconList_Create tkIconList_CtrlBtn1 tkIconList_Curselection tkIconList_DeleteAll + tkIconList_Double1 tkIconList_DrawSelection tkIconList_FocusIn tkIconList_FocusOut + tkIconList_Get tkIconList_Goto tkIconList_Index tkIconList_Invoke tkIconList_KeyPress + tkIconList_Leave1 tkIconList_LeftRight tkIconList_Motion1 tkIconList_Reset + tkIconList_ReturnKey tkIconList_See tkIconList_Select tkIconList_Selection tkIconList_ShiftBtn1 + tkIconList_UpDown tkListbox tkListboxAutoScan tkListboxBeginExtend tkListboxBeginSelect + tkListboxBeginToggle tkListboxCancel tkListboxDataExtend tkListboxExtendUpDown + tkListboxKeyAccel_Goto tkListboxKeyAccel_Key tkListboxKeyAccel_Reset tkListboxKeyAccel_Set + tkListboxKeyAccel_Unset tkListboxMotion tkListboxSelectAll tkListboxUpDown tkMbButtonUp tkMbEnter + tkMbLeave tkMbMotion tkMbPost tkMenuButtonDown tkMenuDownArrow tkMenuDup tkMenuEscape + tkMenuFind tkMenuFindName tkMenuFirstEntry tkMenuInvoke tkMenuLeave tkMenuLeftArrow + tkMenuMotion tkMenuNextEntry tkMenuNextMenu tkMenuRightArrow tkMenuUnpost tkMenuUpArrow + tkMessageBox tkMotifFDialog tkMotifFDialog_ActivateDList tkMotifFDialog_ActivateFEnt + tkMotifFDialog_ActivateFList tkMotifFDialog_ActivateSEnt tkMotifFDialog_BrowseDList + tkMotifFDialog_BrowseFList tkMotifFDialog_BuildUI tkMotifFDialog_CancelCmd tkMotifFDialog_Config + tkMotifFDialog_Create tkMotifFDialog_FileTypes tkMotifFDialog_FilterCmd + tkMotifFDialog_InterpFilter tkMotifFDialog_LoadFiles tkMotifFDialog_MakeSList tkMotifFDialog_OkCmd + tkMotifFDialog_SetFilter tkMotifFDialog_SetListMode tkMotifFDialog_Update tkPostOverPoint + tkRecolorTree tkRestoreOldGrab tkSaveGrabInfo tkScaleActivate tkScaleButton2Down + tkScaleButtonDown tkScaleControlPress tkScaleDrag tkScaleEndDrag tkScaleIncrement + tkScreenChanged tkScrollButton2Down tkScrollButtonDown tkScrollButtonDrag tkScrollButtonUp + tkScrollByPages tkScrollByUnits tkScrollDrag tkScrollEndDrag tkScrollSelect + tkScrollStartDrag tkScrollTopBottom tkScrollToPos tkTabToWindow tkTearOffMenu tkTextAutoScan + tkTextButton1 tkTextClosestGap tkTextInsert tkTextKeyExtend tkTextKeySelect tkTextNextPara + tkTextNextPos tkTextNextWord tkTextPaste tkTextPrevPara tkTextPrevPos tkTextPrevWord + tkTextResetAnchor tkTextScrollPages tkTextSelectTo tkTextSetCursor tkTextTranspose + tkTextUpDownLine tkTraverseToMenu tkTraverseWithinMenu + 4ESSETKEYWORDS + expand + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],3M[color.set] + :M[color.string],4M[color.set] + :M[color.string],5M[color.set] + :M[color.operator],6M[color.set] + :M[color.keyword],7M[color.set] + :M[color.preproc],8M[color.set] + :M[color.preproc],9M[color.set] + :M[color.string2],10M[color.set] + :M[color.keyword],11M[color.set] + :M[color.keyword],12M[color.set] + :M[color.keyword],13M[color.set] + :M[color.keyword],14M[color.set] + :M[color.keyword],15M[color.set] + :M[color.comment],20M[color.set] +} diff --git a/lib/lexers/test.tes b/lib/lexers/test.tes new file mode 100644 index 0000000..a2062da --- /dev/null +++ b/lib/lexers/test.tes @@ -0,0 +1,21 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.test]{ [_ + _.[pln,inc,t]M[lexer.checkname] +]_ } + +@[lexer.set.test]{ + ESSETLEXER,SCLEX_CPP + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/vala.tes b/lib/lexers/vala.tes new file mode 100644 index 0000000..7fcefaa --- /dev/null +++ b/lib/lexers/vala.tes @@ -0,0 +1,30 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.vala]{ [_ + _.valaM[lexer.checkname] +]_ } + +@[lexer.set.vala]{ + ESSETLEXER,SCLEX_CPP + 0ESSETKEYWORDS + if else switch case default break continue return yield for foreach in do + while try catch finally throw + 1ESSETKEYWORDS + namespace interface class struct enum delegate signal errordomain construct + get set value base const static var dynamic weak unowned virtual abstract + override public protected private extern throws requires ensures yields out ref lock + using true false null delete generic new this typeof sizeof as owned int string + char bool + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.comment],3M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string2],7M[color.set] + :M[color.preproc],9M[color.set] + :M[color.operator],10M[color.set] + :M[color.comment],15M[color.set] + :M[color.string],20M[color.set] + :M[color.comment],24M[color.set] +} diff --git a/lib/lexers/vb.tes b/lib/lexers/vb.tes new file mode 100644 index 0000000..8d5d8ec --- /dev/null +++ b/lib/lexers/vb.tes @@ -0,0 +1,38 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.vb]{ [_ + _.[vb,bas,frm,cls,ctl,pag,dsr,dob]M[lexer.checkname] +]_ } + +@[lexer.set.vb]{ + ESSETLEXER,SCLEX_VB + 0ESSETKEYWORDS + addressof alias and as attribute base begin binary boolean byref byte byval + call case cdbl cint clng compare const csng cstr currency date decimal declare + defbool defbyte defcur defdate defdbl defdec defint deflng defobj defsng defstr + defvar dim do double each else elseif empty end enum eqv erase error event exit + explicit false for friend function get global gosub goto if imp implements in input + integer is len let lib like load lock long loop lset me mid midb mod new next not + nothing null object on option optional or paramarray preserve print private property + public raiseevent randomize redim rem resume return rset seek select set single + static step stop string sub text then time to true type typeof unload until variant + wend while with withevents xor addhandler andalso ansi assembly auto catch cbool + cbyte cchar cdate cdec char class cobj continue csbyte cshort ctype cuint culng + cushort custom default delegate directcast endif externalsource finally gettype + handles imports inherits interface isfalse isnot istrue module mustinherit + mustoverride my mybase myclass namespace narrowing notinheritable notoverridable of off + operator orelse overloads overridable overrides partial protected readonly region + removehandler sbyte shadows shared short strict structure synclock throw try trycast + uinteger ulong unicode ushort using when widening writeonly + 1ESSETKEYWORDS + appactivate beep chdir chdrive close filecopy get input kill line unlock + mkdir name open print put reset rmdir savepicture savesetting seek sendkeys + setattr width write + :M[color.comment],1M[color.set] + :M[color.number],2M[color.set] + :M[color.keyword],3M[color.set] + :M[color.string],4M[color.set] + :M[color.preproc],5M[color.set] + :M[color.operator],6M[color.set] + :M[color.number],8M[color.set] +} diff --git a/lib/lexers/verilog.tes b/lib/lexers/verilog.tes new file mode 100644 index 0000000..b964d60 --- /dev/null +++ b/lib/lexers/verilog.tes @@ -0,0 +1,48 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.verilog]{ [_ + _.[v,vh]M[lexer.checkname] +]_ } + +@[lexer.set.verilog]{ + ESSETLEXER,SCLEX_VERILOG + 0ESSETKEYWORDS + always and assign automatic begin buf bufif0 bufif1 case casex casez cell + cmos config deassign default defparam design disable edge else end endcase + endconfig endfunction endgenerate endmodule endprimitive endspecify endtable endtask + event for force forever fork function generate genvar highz0 highz1 if ifnone + incdir include initial inout input instance integer join large liblist library + localparam macromodule medium module nand negedge nmos nor noshowcancelled not notif0 + notif1 or output parameter pmos posedge primitive pull0 pull1 pulldown pullup + pulsestyle_ondetect pulsestyle_onevent rcmos real realtime reg release repeat rnmos rpmos rtran + rtranif0 rtranif1 scalared showcancelled signed small specify specparam strong0 + strong1 supply0 supply1 table task time tran tranif0 tranif1 tri tri0 tri1 triand + trior trireg unsigned use uwire vectored wait wand weak0 weak1 while wire wor xnor + xor + 2ESSETKEYWORDS + $async$and$array $async$and$plane $async$nand$array $async$nand$plane + $async$nor$array $async$nor$plane $async$or$array $async$or$plane $bitstoreal $countdrivers + $display $displayb $displayh $displayo $dist_chi_square $dist_erlang + $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $dumpall $dumpfile + $dumpflush $dumplimit $dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit + $dumpportsoff $dumpportson $dumpvars $fclose $fdisplayh $fdisplay $fdisplayf $fdisplayb + $feof $ferror $fflush $fgetc $fgets $finish $fmonitorb $fmonitor $fmonitorf + $fmonitorh $fopen $fread $fscanf $fseek $fsscanf $fstrobe $fstrobebb $fstrobef + $fstrobeh $ftel $fullskew $fwriteb $fwritef $fwriteh $fwrite $getpattern $history + $hold $incsave $input $itor $key $list $log $monitorb $monitorh $monitoroff + $monitoron $monitor $monitoro $nochange $nokey $nolog $period $printtimescale $q_add + $q_exam $q_full $q_initialize $q_remove $random $readmemb $readmemh $readmemh + $realtime $realtobits $recovery $recrem $removal $reset_count $reset $reset_value + $restart $rewind $rtoi $save $scale $scope $sdf_annotate $setup $setuphold $sformat + $showscopes $showvariables $showvars $signed $skew $sreadmemb $sreadmemh $stime $stop + $strobeb $strobe $strobeh $strobeo $swriteb $swriteh $swriteo $swrite $sync$and$array + $sync$and$plane $sync$nand$array $sync$nand$plane $sync$nor$array $sync$nor$plane + $sync$or$array $sync$or$plane $test$plusargs $time $timeformat $timeskew $ungetc $unsigned + $value$plusargs $width $writeb $writeh $write $writeo + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.preproc],9M[color.set] +} diff --git a/lib/lexers/vhdl.tes b/lib/lexers/vhdl.tes new file mode 100644 index 0000000..1c64082 --- /dev/null +++ b/lib/lexers/vhdl.tes @@ -0,0 +1,42 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.vhdl]{ [_ + _.[vhd,vhdl]M[lexer.checkname] +]_ } + +@[lexer.set.vhdl]{ + ESSETLEXER,SCLEX_VHDL + 0ESSETKEYWORDS + access after alias all architecture array assert attribute begin block body + buffer bus case component configuration constant disconnect downto else elsif end + entity exit file for function generate generic group guarded if impure in inertial + inout is label library linkage literal loop map new next null of on open others + out package port postponed procedure process pure range record register reject + report return select severity shared signal subtype then to transport type + unaffected units until use variable wait when while with + 1ESSETKEYWORDS + abs and mod nand nor not or rem rol ror sla sll sra srl xnor xor + 2ESSETKEYWORDS + left right low high ascending image value pos val succ pred leftof rightof + base range reverse_range length delayed stable quiet transaction event active + last_event last_active last_value driving driving_value simple_name path_name + instance_name + 3ESSETKEYWORDS + now readline read writeline write endfile resolved to_bit to_bitvector + to_stdulogic to_stdlogicvector to_stdulogicvector to_x01 to_x01z to_UX01 rising_edge + falling_edge is_x shift_left shift_right rotate_left rotate_right resize to_integer + to_unsigned to_signed std_match to_01 + 4ESSETKEYWORDS + std ieee work standard textio std_logic_1164 std_logic_arith std_logic_misc + std_logic_signed std_logic_textio std_logic_unsigned numeric_bit numeric_std math_complex + math_real vital_primitives vital_timing + 5ESSETKEYWORDS + boolean bit character severity_level integer real time delay_length natural + positive string bit_vector file_open_kind file_open_status line text side width + std_ulogic std_ulogic_vector std_logic std_logic_vector X01 X01Z UX01 UX01Z unsigned + signed + :M[color.comment],1M[color.set] + :M[color.number],3M[color.set] + :M[color.string],4M[color.set] + :M[color.keyword],8M[color.set] +} diff --git a/lib/lexers/vxml.tes b/lib/lexers/vxml.tes new file mode 100644 index 0000000..81e4066 --- /dev/null +++ b/lib/lexers/vxml.tes @@ -0,0 +1,44 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.vxml]{ [_ + _.vxmlM[lexer.checkname] +]_ } + +@[lexer.set.vxml]{ + ESSETLEXER,SCLEX_HYPERTEXT + 0ESSETKEYWORDS + assign audio block break catch choice clear disconnect else elseif emphasis + enumerate error exit field filled form goto grammar help if initial link log menu meta + noinput nomatch object option p paragraph param phoneme prompt property prosody + record reprompt return s say-as script sentence subdialog submit throw transfer + value var voice vxml accept age alphabet anchor application base beep bridge + category charset classid cond connecttimeout content contour count dest destexpr dtmf + dtmfterm duration enctype event eventexpr expr expritem fetchtimeout finalsilence + gender http-equiv id level maxage maxstale maxtime message messageexpr method mime + modal mode name namelist next nextitem ph pitch range rate scope size sizeexpr + skiplist slot src srcexpr sub time timeexpr timeout transferaudio type value variant + version volume xml:lang public !doctype + 1ESSETKEYWORDS + abstract boolean break byte case catch char class const continue debugger + default delete do double else enum export extends final finally float for function + goto if implements import in instanceof int interface long native new package + private protected public return short static super switch synchronized this throw + throws transient try typeof var void volatile while with + :M[color.number],5M[color.set] + :M[color.string],6M[color.set] + :M[color.string],7M[color.set] + :M[color.comment],42M[color.set] + :M[color.comment],43M[color.set] + :M[color.comment],44M[color.set] + :M[color.number],45M[color.set] + :M[color.keyword],47M[color.set] + :M[color.string],48M[color.set] + :M[color.string],49M[color.set] + :M[color.operator],50M[color.set] + :M[color.comment],72M[color.set] + :M[color.comment],82M[color.set] + :M[color.comment],92M[color.set] + :M[color.comment],107M[color.set] + :M[color.comment],124M[color.set] + :M[color.comment],125M[color.set] +} |