diff options
Diffstat (limited to 'lib/lexers/systemverilog.tes')
-rw-r--r-- | lib/lexers/systemverilog.tes | 68 |
1 files changed, 68 insertions, 0 deletions
diff --git a/lib/lexers/systemverilog.tes b/lib/lexers/systemverilog.tes new file mode 100644 index 0000000..04a4a35 --- /dev/null +++ b/lib/lexers/systemverilog.tes @@ -0,0 +1,68 @@ +! AUTO-GENERATED FROM SCITE PROPERTY SET ! + +@[lexer.test.systemverilog]{ [_ + _.[sv,svh]M[lexer.checkname] +]_ } + +@[lexer.set.systemverilog]{ + ESSETLEXER,SCLEX_VERILOG + 0ESSETKEYWORDS + alias always always_comb always_ff always_latch and assert assign assume + automatic before begin bind bins binsof bit break buf bufif0 bufif1 byte case casex + casez cell chandle class clocking cmos config const constraint context continue + cover covergroup coverpoint cross deassign default defparam design disable dist do + edge else end endcase endclass endclocking endconfig endfunction endgenerate + endgroup endinterface endmodule endpackage endprimitive endprogram endproperty + endspecify endsequence endtable endtask enum event expect export extends extern final + first_match for force foreach forever fork forkjoin function generate genvar highz0 + highz1 if iff ifnone ignore_bins illegal_bins import incdir include initial inout + input inside instance int integer interface intersect join join_any join_none + large liblist library local localparam logic longint macromodule matches medium + modport module nand negedge new nmos nor noshowcancelled not notif0 notif1 null or + output package packed parameter pmos posedge primitive priority program property + protected pull0 pull1 pulldown pullup pulsestyle_onevent pulsestyle_ondetect pure rand + randc randcase randsequence rcmos real realtime ref reg release repeat return + rnmos rpmos rtran rtranif0 rtranif1 scalared sequence shortint shortreal + showcancelled signed small solve specify specparam static string strong0 strong1 struct + super supply0 supply1 table tagged task this throughout time timeprecision + timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union + unique unsigned use uwire var vectored virtual void wait wait_order wand weak0 + weak1 while wildcard wire with within wor xnor xor + 2ESSETKEYWORDS + $acos $acosh $asin $asinh $assertfailoff $assertfailon $assertkill + $assertnonvacuouson $assertoff $asserton $assertpassoff $assertpasson $assertvacuousoff + $async$and$array $async$and$plane $async$nand$array $async$nand$plane $async$nor$array + $async$nor$plane $async$or$array $async$or$plane $atan $atan2 $atanh $bits $bitstoreal + $bitstoshortreal $cast $ceil $changed $changed_gclk $changing_gclk $clog2 $cos $cosh + $countdrivers $countones $coverage_control $coverage_get $coverage_get_max $coverage_merge + $coverage_save $dimensions $display $displayb $displayh $displayo $dist_chi_square + $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $dumpall + $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpports $dumpportsall + $dumpportsflush $dumpportslimit $dumpportsoff $dumpportson $dumpvars $error $exit $exp + $falling_gclk $fatal $fclose $fdisplay $fdisplayb $fdisplayf $fdisplayh $fdisplayo $fell + $fell_gclk $feof $ferror $fflush $fgetc $fgets $finish $floor $fmonitor $fmonitorb + $fmonitorf $fmonitorh $fmonitoro $fopen $fread $fscanf $fseek $fsscanf $fstrobe + $fstrobeb $fstrobebb $fstrobef $fstrobeh $fstrobeo $ftel $ftell $fullskew $future_gclk + $fwrite $fwriteb $fwritef $fwriteh $fwriteo $get_coverage $getpattern $high $history + $hold $hypot $increment $incsave $info $input $isunbounded $isunknown $itor $key + $left $list $ln $load_coverage_db $log $log10 $low $monitor $monitorb $monitorh + $monitoro $monitoroff $monitoron $nochange $nokey $nolog $onehot $onehot0 $past + $past_gclk $period $pow $printtimescale $q_add $q_exam $q_full $q_initialize $q_remove + $random $readmemb $readmemh $realtime $realtobits $recovery $recrem $removal $reset + $reset_count $reset_value $restart $rewind $right $rising_gclk $root $rose $rose_gclk + $rtoi $sampled $save $scale $scope $sdf_annotate $set_coverage_db_name $setup + $setuphold $sformat $sformatf $shortrealtobits $showscopes $showvariables $showvars + $signed $sin $sinh $size $skew $sqrt $sreadmemb $sreadmemh $sscanf $stable + $stable_gclk $steady_gclk $stime $stop $strobe $strobeb $strobeh $strobeo $swrite + $swriteb $swriteh $swriteo $sync$and$array $sync$and$plane $sync$nand$array + $sync$nand$plane $sync$nor$array $sync$nor$plane $sync$or$array $sync$or$plane $system $tan + $tanh $test$plusargs $time $timeformat $timeskew $typename $ungetc $unit + $unpacked_dimensions $unsigned $urandom $urandom_range $value$plusargs $warning $width $write + $writeb $writeh $writememb $writememh $writeo + :M[color.comment],1M[color.set] + :M[color.comment],2M[color.set] + :M[color.number],4M[color.set] + :M[color.keyword],5M[color.set] + :M[color.string],6M[color.set] + :M[color.preproc],9M[color.set] +} |