aboutsummaryrefslogtreecommitdiffhomepage
path: root/lib/lexers/verilog.tes
blob: 4c5d99a3b160dd85df484ef999e4e18162c75755 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
! AUTO-GENERATED FROM SCITE PROPERTY SET !

@[lexer.test.verilog]{
  :EN*.vQ*U.r
  Q.r"F :EN*.vhQ*U.r '
Q.r}

@[lexer.set.verilog]{
  ESSETLEXER,SCLEX_VERILOG
  0ESSETKEYWORDS
    always and assign automatic begin buf bufif0 bufif1 case casex casez cell
    cmos config deassign default defparam design disable edge else end endcase
    endconfig endfunction endgenerate endmodule endprimitive endspecify endtable endtask
    event for force forever fork function generate genvar highz0 highz1 if ifnone
    incdir include initial inout input instance integer join large liblist library
    localparam macromodule medium module nand negedge nmos nor noshowcancelled not notif0
    notif1 or output parameter pmos posedge primitive pull0 pull1 pulldown pullup
    pulsestyle_ondetect pulsestyle_onevent rcmos real realtime reg release repeat rnmos rpmos rtran
    rtranif0 rtranif1 scalared showcancelled signed small specify specparam strong0
    strong1 supply0 supply1 table task time tran tranif0 tranif1 tri tri0 tri1 triand
    trior trireg unsigned use uwire vectored wait wand weak0 weak1 while wire wor xnor
    xor
  2ESSETKEYWORDS
    $async$and$array $async$and$plane $async$nand$array $async$nand$plane
    $async$nor$array $async$nor$plane $async$or$array $async$or$plane $bitstoreal $countdrivers
    $display $displayb $displayh $displayo $dist_chi_square $dist_erlang
    $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $dumpall $dumpfile
    $dumpflush $dumplimit $dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit
    $dumpportsoff $dumpportson $dumpvars $fclose $fdisplayh $fdisplay $fdisplayf $fdisplayb
    $feof $ferror $fflush $fgetc $fgets $finish $fmonitorb $fmonitor $fmonitorf
    $fmonitorh $fopen $fread $fscanf $fseek $fsscanf $fstrobe $fstrobebb $fstrobef
    $fstrobeh $ftel $fullskew $fwriteb $fwritef $fwriteh $fwrite $getpattern $history
    $hold $incsave $input $itor $key $list $log $monitorb $monitorh $monitoroff
    $monitoron $monitor $monitoro $nochange $nokey $nolog $period $printtimescale $q_add
    $q_exam $q_full $q_initialize $q_remove $random $readmemb $readmemh $readmemh
    $realtime $realtobits $recovery $recrem $removal $reset_count $reset $reset_value
    $restart $rewind $rtoi $save $scale $scope $sdf_annotate $setup $setuphold $sformat
    $showscopes $showvariables $showvars $signed $skew $sreadmemb $sreadmemh $stime $stop
    $strobeb $strobe $strobeh $strobeo $swriteb $swriteh $swriteo $swrite $sync$and$array
    $sync$and$plane $sync$nand$array $sync$nand$plane $sync$nor$array $sync$nor$plane
    $sync$or$array $sync$or$plane $test$plusargs $time $timeformat $timeskew $ungetc $unsigned
    $value$plusargs $width $writeb $writeh $write $writeo
  :M[color.comment],1M[color.set]
  :M[color.comment],2M[color.set]
  :M[color.number],4M[color.set]
  :M[color.keyword],5M[color.set]
  :M[color.string],6M[color.set]
  :M[color.preproc],9M[color.set]
}